FPGA输出lvds信号点亮液晶屏

概述

        该方案用于生成RGB信号,通过lvds接口驱动逻辑输出,点亮并驱动BP101WX-206液晶屏幕。

参考:下面为参考文章,内容非常详细。Xilinx LVDS Output——原语调用_vivado原语_ShareWow丶的博客icon-default.png?t=N7T8http://t.csdn.cn/Zy37p

功能描述

顶层逻辑结构简略图

        MMCM模块为时钟模块,负责将系统时钟变频与输出,产生各模块所需要的时钟;data_generator模块用于生成各种数据与信号,并传输给lvds_output_driver模块,lvds_output_driver模块将这些数据进行并串转换并按指定的lvds格式输出给液晶屏。

3 模块详细设计

        MMCM模块用于时钟的变频与输出,data_generator模块用于生成8bit RGB数据,行场同步信号(h_sync、v_sync)和数据使能信号data_en,并传输给lvds_output_driver模块,该模块将这些数据进行并串转换并按指定格式输出给液晶屏。具体详细设计图如下:

逻辑顶层详细结构图

        3.1 MMCM模块

        以下为时钟模块MMCM的接口示意图输出65Mhz和455Mhz的时钟,输出locked作为其他模块的复位信号,改模块采用IP核实现。

MMCM模块接口示意图

        3.2 Data_generator模块设计

        手册中给出的时序信息如下图:

手册时序信息

        依照这样的逻辑,画出大致的设计时序图:

时序图01

时序图02

        3.3 lvds_output_driver模块设计

        该模块的详细设计如下:

lvds_output_driver模块逻辑结构图

        手册中的输出逻辑时序如下:

4 详细代码设计

        4.1 data_generator模块详细设计

/* this module is used for data generationBP101WX1-2061280 * 800 pixel
*/
//count H_SYNC
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begincnt_h <= 0;endelse if (end_cnt_h) begincnt_h <= 0;endelse begincnt_h <= cnt_h + 1;end
end
assign end_cnt_h = cnt_h == (H_TOTAL - 1);//count V_SYNC
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begincnt_v <= 0;endelse if (end_cnt_h) beginif (end_cnt_v) begincnt_v <= 0;endelse begincnt_v <= cnt_v + 1;endend
end
assign end_cnt_v = end_cnt_h && (cnt_v == (V_TOTAL - 1));//OUTPUT h_sync v_sync DE
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begino_h_sync <= 1;endelse if ((cnt_h == H_FRONT - 1) || (cnt_h == H_FRONT + H_SYNC - 1)) begino_h_sync <= ~o_h_sync;end
endalways @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begino_v_sync <= 1;endelse if ((cnt_v == V_FRONT - 1 && end_cnt_h) || (cnt_v == V_FRONT + V_SYNC - 1 && end_cnt_h)) begino_v_sync <= ~o_v_sync;end
endalways @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) begino_data_en <= 0;endelse if ((cnt_h == H_BLANK - 1 && cnt_v >= V_BLANK) || (cnt_h == H_TOTAL - 1 && cnt_v >= V_BLANK)) begino_data_en <= ~o_data_en;end
end//RGB data generation
assign x_cnt = (cnt_h >= H_BLANK)? cnt_h - (H_BLANK - 1) : 0;
assign y_cnt = (cnt_v >= V_BLANK)? cnt_v - (V_BLANK) : 0;
always @(posedge i_clk_65mhz or posedge i_rst) beginif (i_rst) beginrgb_data <= 0;end/* else if (x_cnt == h_vo || y_cnt == v_vo) beginrgb_data <= 24'hff_00_00;end */else if (x_cnt == 0 || x_cnt == 639 || x_cnt == 1279) beginrgb_data <= 24'hff_00_00;endelse if (y_cnt == 0 || y_cnt == 20 || y_cnt == 40) beginrgb_data <= 24'hff_00_00;endelse beginrgb_data <= 24'hffffff;end
end
assign {o_red_data, o_gre_data, o_blu_data} = rgb_data;

        4.2 lvds_output_driver详细设计

       第一种写法:

// CLK
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_clk_inst (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_clk    ),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (CLK_PATTERN[0] ),.D2           (CLK_PATTERN[1] ),.D3           (CLK_PATTERN[2] ),.D4           (CLK_PATTERN[3] ),.D5           (CLK_PATTERN[4] ),.D6           (CLK_PATTERN[5] ),.D7           (CLK_PATTERN[6] ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_CLK_inst (.O            (tx_clk_p       ),     // Diff_p output (connect directly to top-level port).OB           (tx_clk_n       ),   // Diff_n output (connect directly to top-level port).I            (oserdes_clk    )      // Buffer input );// 1 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst0 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[0]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_gre_data[0]  ),.D2           (i_red_data[5]  ),.D3           (i_red_data[4]  ),.D4           (i_red_data[3]  ),.D5           (i_red_data[2]  ),.D6           (i_red_data[1]  ),.D7           (i_red_data[0]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst0 (.O            (lvds_data_p[0] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[0] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[0])      // Buffer input );// 2 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst1 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[1]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_blu_data[1]  ),.D2           (i_blu_data[0]  ),.D3           (i_gre_data[5]  ),.D4           (i_gre_data[4]  ),.D5           (i_gre_data[3]  ),.D6           (i_gre_data[2]  ),.D7           (i_gre_data[1]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst1 (.O            (lvds_data_p[1] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[1] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[1])      // Buffer input );// 3 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst2 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[2]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_data_en      ),.D2           (i_v_sync       ),.D3           (i_h_sync       ),.D4           (i_blu_data[5]  ),.D5           (i_blu_data[4]  ),.D6           (i_blu_data[3]  ),.D7           (i_blu_data[2]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst2 (.O            (lvds_data_p[2] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[2] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[2])      // Buffer input );// 4 PAIR
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst3 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[3]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (DON_CR         ),.D2           (i_blu_data[7]  ),.D3           (i_blu_data[6]  ),.D4           (i_gre_data[7]  ),.D5           (i_gre_data[6]  ),.D6           (i_red_data[7]  ),.D7           (i_red_data[6]  ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst3 (.O            (lvds_data_p[3] ),     // Diff_p output (connect directly to top-level port).OB           (lvds_data_n[3] ),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[3])      // Buffer input );

        第二种写法,采用generate语句:

parameter   DON_CR  = 0;
parameter   CLK_PATTERN = 7'b11_000_11;wire [23:0] din;wire        oserdes_clk ;   // oserdes output clk
wire [3:0]  oserdes_data;   // oserdes output datagenvar i;assign din = {  DON_CR, i_blu_data[7:6], i_gre_data[7:6], i_red_data[7:6], i_data_en, i_v_sync ,i_h_sync, i_blu_data[5:2], i_blu_data[1:0], i_gre_data[5:1],i_gre_data[0], i_red_data[5:0]};// CLK
OSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_clk_inst (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_clk    ),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (CLK_PATTERN[0] ),.D2           (CLK_PATTERN[1] ),.D3           (CLK_PATTERN[2] ),.D4           (CLK_PATTERN[3] ),.D5           (CLK_PATTERN[4] ),.D6           (CLK_PATTERN[5] ),.D7           (CLK_PATTERN[6] ),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_CLK_inst (.O            (o_tx_clk_p     ),     // Diff_p output (connect directly to top-level port).OB           (o_tx_clk_n     ),   // Diff_n output (connect directly to top-level port).I            (oserdes_clk    )      // Buffer input );// DATA
generatefor (i = 0; i<4; i=i+1) beginOSERDESE2 #(.DATA_RATE_OQ     ("SDR"      ),          // DDR, SDR.DATA_RATE_TQ     ("SDR"      ),          // DDR, BUF, SDR.DATA_WIDTH       (7          ),          // Parallel data width (2-8,10,14).INIT_OQ          (1'b0       ),          // Initial value of OQ output (1'b0,1'b1).INIT_TQ          (1'b0       ),          // Initial value of TQ output (1'b0,1'b1).SERDES_MODE      ("MASTER"   ),          // MASTER, SLAVE.SRVAL_OQ         (1'b0       ),          // OQ output value when SR is used (1'b0,1'b1).SRVAL_TQ         (1'b0       ),          // TQ output value when SR is used (1'b0,1'b1).TBYTE_CTL        ("FALSE"    ),          // Enable tristate byte operation (FALSE, TRUE).TBYTE_SRC        ("FALSE"    ),          // Tristate byte source (FALSE, TRUE).TRISTATE_WIDTH   (1          )           // 3-state converter width (1,4))OSERDES_data_inst0 (.OFB          (               ),          // 1-bit output: Feedback path for data.OQ           (oserdes_data[i]),          // 1-bit output: Data path output// SHIFTOUT1 / SHIFTOUT2: 1-bit (each) output: Data output expansion (1-bit each).SHIFTOUT1    (               ),.SHIFTOUT2    (               ),.TBYTEOUT     (               ),          // 1-bit output: Byte group tristate.TFB          (               ),          // 1-bit output: 3-state control.TQ           (               ),          // 1-bit output: 3-state control.CLK          (i_clk_455mhz   ),          // 1-bit input: High speed clock.CLKDIV       (i_clk_65mhz    ),          // 1-bit input: Divided clock// D1 - D8: 1-bit (each) input: Parallel data inputs (1-bit each).D1           (i_gre_data[i*8+6]),.D2           (i_red_data[i*8+5]),.D3           (i_red_data[i*8+4]),.D4           (i_red_data[i*8+3]),.D5           (i_red_data[i*8+2]),.D6           (i_red_data[i*8+1]),.D7           (i_red_data[i*8+0]),.D8           (               ),.OCE          (1'b1           ),             // 1-bit input: Output data clock enable.RST          (i_rst          ),             // 1-bit input: Reset// SHIFTIN1 / SHIFTIN2: 1-bit (each) input: Data input expansion (1-bit each).SHIFTIN1     (1'b0           ),.SHIFTIN2     (1'b0           ),// T1 - T4: 1-bit (each) input: Parallel 3-state inputs.T1           (1'b0           ),.T2           (1'b0           ),.T3           (1'b0           ),.T4           (1'b0           ),.TBYTEIN      (1'b0           ),     // 1-bit input: Byte group tristate.TCE          (1'b0           )              // 1-bit input: 3-state clock enable);OBUFDS #(.IOSTANDARD   ("DEFAULT"      ), // Specify the output I/O standard.SLEW         ("SLOW"         )           // Specify the output slew rate) OBUFDS_DATA_inst0 (.O            (o_lvds_data_p[i]),     // Diff_p output (connect directly to top-level port).OB           (o_lvds_data_n[i]),   // Diff_n output (connect directly to top-level port).I            (oserdes_data[i] )      // Buffer input );end
endgenerate

        4.3 顶层设计

module a_top (input               clk             ,       // sys_clkoutput              pwm_pannel      ,       // backlightoutput              o_tx_clk_p      ,output              o_tx_clk_n      ,output  [3:0]       lvds_data_p     ,output  [3:0]       lvds_data_n      
);wire clk_65mhz       ;
wire clk_455mhz      ;wire rst             ;wire [7:0]  red_data ; 
wire [7:0]  gre_data ; 
wire [7:0]  blu_data ; 
wire        h_sync   ; 
wire        v_sync   ; 
wire        data_en  ; assign pwm_pannel = 1;// MMCM
clk_wiz_0 u_clk_wiz_0(/* output */              .clk_out1         (clk_65mhz  ),/* output */              .clk_out2         (clk_455mhz ),/* output */              .locked           (rst        ),/* input  */              .clk_in1          (clk        )
);data_generator u_data_generator(/* input         */       .i_clk_65mhz      (clk_65mhz  ),   //input 65MHz clk, period : 15.38ns/* input         */       .i_rst            (!rst       ),/* output  [7:0] */       .o_red_data       (red_data   ),/* output  [7:0] */       .o_gre_data       (gre_data   ),/* output  [7:0] */       .o_blu_data       (blu_data   ),/* output  reg   */       .o_h_sync         (h_sync     ),/* output  reg   */       .o_v_sync         (v_sync     ),/* output  reg   */       .o_data_en        (data_en    ) 
);lvds_output_driver u_lvds_output_driver(/* input         */       .i_clk_455mhz     (clk_455mhz ),/* input         */       .i_clk_65mhz      (clk_65mhz  ),/* input         */       .i_rst            (!rst       ),/* input   [7:0] */       .i_red_data       (red_data   ),/* input   [7:0] */       .i_gre_data       (gre_data   ),/* input   [7:0] */       .i_blu_data       (blu_data   ),/* input         */       .i_v_sync         (v_sync     ),/* input         */       .i_h_sync         (h_sync     ),/* input         */       .i_data_en        (data_en    ),/* output        */       .tx_clk_p         (o_tx_clk_p ),/* output        */       .tx_clk_n         (o_tx_clk_n ),/* output  [3:0] */       .lvds_data_p      (lvds_data_p),/* output  [3:0] */       .lvds_data_n      (lvds_data_n) 
);endmodule //a_top

5 实现效果

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/120793.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

如何在你的Android工程中启用K2编译器?

如何在你的Android工程中启用K2编译器&#xff1f; K2编译器是用于Kotlin代码编译的最新、高效编译器&#xff0c;你现在可以尝试使用了。 Kotlin编译器正在为Kotlin 2.0进行重写&#xff0c;新的编译器实现&#xff08;代号K2&#xff09;带来了显著的构建速度改进&#xff…

10 mysql tiny/small/medium/big int 的数据存储

前言 这里主要是 由于之前的一个 datetime 存储的时间 导致的问题的衍生出来的探究 探究的主要内容为 int 类类型的存储, 浮点类类型的存储, char 类类型的存储, blob 类类型的存储, enum/json/set/bit 类类型的存储 本文主要 的相关内容是 int 类类型的相关数据的存储 …

Vue3 学习 组合式API setup语法糖 响应式 指令 DIFF(一)

文章目录 前言一、Composition Api二、setup语法糖三、响应式refreactive 四、其他一些关键点v-prev-oncev-memov-cloak 五、虚拟Dom五、diff算法 前言 本文用于记录学习Vue3的过程 一、Composition Api 我觉得首先VUE3最大的改变就是对于代码书写的改变&#xff0c;从原来选择…

购物商场项目实践

1.项目开始 1&#xff09;此项目为在线电商项目 2&#xff09;包含首页&#xff0c;搜索列表&#xff0c;商品详情&#xff0c;购物车&#xff0c;订单&#xff0c;支付&#xff0c;用户登录/注册等多个子模块 3&#xff09;使用Vue全家桶ES6webpackAxios等前端技术 4&…

MySQL主从复制与读写分离 及其实例

目录 主从复制与读写分离 1、MySQL主从复制原理 1.1、MySQL的复制类型 1.2、MySQL主从复制的工作过程 1.3、mysq支持的复制类型 1.4、 数据流向 1.5、主从复制的工作过程 2、读写分离 2.1、什么是读写分离&#xff1f; 2.2、为什么要读写分离呢&#xff1f; 2.3、什么…

如何快速搭建母婴行业的微信小程序?

如果你想为你的母婴行业打造一个独特的小程序&#xff0c;但没有任何编程经验&#xff0c;别担心&#xff01;现在有许多小程序制作平台提供了简单易用的工具&#xff0c;让你可以轻松地建立自己的小程序。接下来&#xff0c;我将为你详细介绍搭建母婴行业小程序的步骤。 首先&…

MySQL——MySQL的基础操作部分

使用命令行登录 mysql -u root -p 直接敲击回车后输入密码即可&#xff1a; 当看到出现“mysql>“的符号之后&#xff0c;就表示已经进入到了&#xff2d;&#xff59;&#xff33;&#xff31;&#xff2c;系统中&#xff0c;就可以输入&#xff2d;&#xff59;&#xf…

Android学习之路(13) Handler详解

1. 简介 Handler是一套 Android 消息传递机制,主要用于线程间通信。 用最简单的话描述&#xff1a; handler其实就是主线程在起了一个子线程&#xff0c;子线程运行并生成Message&#xff0c;Looper获取message并传递给Handler&#xff0c;Handler逐个获取子线程中的Message.…

【python爬虫】15.Scrapy框架实战(热门职位爬取)

文章目录 前言明确目标分析过程企业排行榜的公司信息公司详情页面的招聘信息 代码实现创建项目定义item 创建和编写爬虫文件存储文件修改设置 代码实操总结 前言 上一关&#xff0c;我们学习了Scrapy框架&#xff0c;知道了Scrapy爬虫公司的结构和工作原理。 在Scrapy爬虫公司…

漏洞发现-web应用发现探针类型利用(43)

关于在真实环境下面&#xff0c;这个漏洞该如何发现 这里老师把它分成了三块第一类是 #已知cms 如常见的dedecms&#xff0c;discuz&#xff0c;wordpress等源码结构&#xff0c;这些都是网上比较知名的php源码的cms的名称&#xff0c;这是我们在国内常见的几个程序&#xf…

Java中的网络编程------基于Socket的TCP编程和基于UDP的网络编程,netstat指令

Socket 在Java中&#xff0c;Socket是一种用于网络通信的编程接口&#xff0c;它允许不同计算机之间的程序进行数据交换和通信。Socket使得网络应用程序能够通过TCP或UDP协议在不同主机之间建立连接、发送数据和接收数据。以下是Socket的基本介绍&#xff1a; Socket类型&…

后端笔试题(2)分频器波形图

我正在「拾陆楼」和朋友们讨论有趣的话题&#xff0c;你⼀起来吧&#xff1f; 拾陆楼知识星球入口

冠达管理:“旺季”来临,煤炭板块走高,云煤能源、陕西黑猫涨停

煤炭板块1日盘中发力走高&#xff0c;截至发稿&#xff0c;云煤动力、陕西黑猫涨停&#xff0c;兖矿动力涨超7%&#xff0c;晋控煤业、华阳股份涨超6%&#xff0c;山西焦煤、平煤股份涨超5%。 组织表明&#xff0c;动力大通胀背景下&#xff0c;未来3-5年煤炭供需偏紧的格局仍…

【Spring】SpringBoot的10个参数验证技巧

这里写目录标题 前言1.使用验证注解2 使用自定义验证注解3 在服务器端验证4 提供有意义的错误信息5 将 i18n 用于错误消息messages.properties6 使用分组验证7 对复杂逻辑使用跨域验证8 对验证错误使用异常处理9 测试你的验证逻辑10 考虑客户端验证总结 前言 参数验证很重要&am…

mysql-1:认识mysql

文章目录 数据库概述什么是数据库什么是关系型数据库 MySQL的概述MySQL是什么MySQL发展历程 SQL的概述什么是SQLSQL发展的简要历史&#xff1a;SQL语言分类 数据库概述 什么是数据库 数据库就是[存储数据的仓库]&#xff0c;其本质是一个[文件系统]&#xff0c;数据按照特定的…

简述电子企业MES管理系统解决方案的实施策略

引言&#xff1a;在电子制造企业中&#xff0c;随着产品种类的增多和订单数量的增长&#xff0c;传统的手工管理方式已经无法满足企业的生产需求。为了提高生产效率&#xff0c;降低成本&#xff0c;提高订单的履行速度和准确性&#xff0c;电子企业需要实施MES管理系统。本文将…

VGA OUT 的PCB设计注意事项

VGA(Video Graphics Array)即视频图形阵列&#xff0c;具有分辨率高、显示速率快、颜色丰富等优点。VGA接口不但是CRT显示设备的标准接口&#xff0c;同样也是LcD液晶显示设备的标准接口&#xff0c;具有广泛的应用范围。 VGA OUT PCB设计注意事项&#xff1a; 1、整体布局时&…

混合动力汽车耐久测试

一 背景 整车厂可通过发动机和电机驱动的结合为多款车型提供混合动力驱动技术。汽车集成电机驱动可大大减少二氧化碳的排放&#xff0c;不仅如此&#xff0c;全电动驱动或混合动力驱动的汽车还将使用户体验到更好的驾驶感受&#xff0c;且这种汽车可通过电动机来实现更快的加速…

Jenkins java8安装版本安装

一、首先准备Jenkins、Jdk8、Tomcat9安装包 根据Jenkins官网介绍&#xff0c;Jenkins支持Java8的版本如下&#xff1a; 我们选择2.164版本进行安装&#xff0c;根据版本号支持输入下载地址&#xff1a;https://archives.jenkins.io/war/2.164/jenkins.war&#xff0c;进行下载…

XSS简单介绍

目录 一、认识XSS 1.XSS原理 2.XSS分类 二、XSS漏洞复现 1.搭建靶机进行复现 2.案例解析 2.1第一关 2.2第二关 2.3第三关 2.4第四关 一、认识XSS 1.XSS原理 XSS跨站脚本攻击是指恶意攻击者往Web页面里插入恶意Script代码&#xff0c;当用户浏览该页之时&#xff0c;…