《安富莱嵌入式周报》第321期:开源12导联便携心电仪,PCB AI设计,150M示波器差分探头,谷歌全栈环境IDX,微软在Excel推出Python

周报汇总地址:嵌入式周报 - uCOS & uCGUI & emWin & embOS & TouchGFX & ThreadX - 硬汉嵌入式论坛 - Powered by Discuz!

视频版:

https://www.bilibili.com/video/BV1ju4y1D7A8/

《安富莱嵌入式周报》第321期:开源12导联便携心电仪,PCB AI设计,150M示波器差分探头,谷歌全栈环境IDX,微软在Excel推出Python



1、开源12导联便携心电仪

PSoC – Design and Implementation of a 12 Lead Portable ECG | Voltage|Divide

这个开源有完整的上位机,下位机和原理图,并且有一个详细的设计论文。

12导联心电图是心电图检查中常规的12个导联,分别包括三个肢体导联,即Ⅰ导、Ⅱ导、Ⅲ导联,以及三个加压肢体导联,即AVR导联、AVL导联、AVF导联。还有六个胸前导联,分别为V1、V2、V3、V4、V5、V6导联

框图:

效果:

上位机:


2、开源150M示波器差分探头

之前给大家分享过一款100M差分探头,这次再给大家分享个150M的。差分探头价格都比较贵,便宜点的也得1-2K

PD150 | Hackaday.io

规格:

效果,个头比较小:

性能测试:


3、微软在Excel中推出Python预览版

Announcing Python in Excel

使用 Excel 中的 Python,用户可以直接在单元格中键入 Python,Python 计算在Microsoft云中运行,结果将返回到工作表,包括绘图和可视化效果。


4、芯片厂家

(1)Silicon Labs将推出第3代无线芯片,22nm工艺,内置NPU,AI性能提升100倍,一套代码实现30多种无线无线协议互联。

Series 3 Wireless Platform for Next Gen IoT - Silicon Labs

a、安全技术Secure Vault率先获得PSA 3级认证的安全套件。
b、第三代平台将带来100倍以上的处理能力提升。
c、可用于跨主要无线协议的30多种产品,这些无线协议包括但不限于低功耗蓝牙、Wi-Fi、Wi-SUN、15.4、多协议和专有协议。


(2)安世半导体推出5V负载开关NPS4053,具有110 mA至2.5 A的精密可调电流限值

Nexperia扩展产品组合, 率先推出集成式5 V负载开关 | Nexperia

NPS4053的工作输入电压为2.5 V-5.5 V,包含一个55 mΩ的自保护MOSFET,可有效管理流向负载的功率。该器件包含可编程限流电路,可在110 mA至2.5 A范围内以+6%的精度精准控制负载电流


(3) TI推出新款高精准度的霍尔效应传感器和集成式分流器,进一步简化电流检测

具有 ±1100V 增强型隔离工作电压的精密霍尔效应电流传感器


(4)Kneron发布KL730 AI芯片

Kneron Unveils the KL730 AI Chip, Propelling Low-Energy GPT Solutions at Scale | Kneron - 人工智能无处不在


(5)思瑞浦将推出TPS32数模混合信号工规系列单片机

产品差异化,  发挥模拟优势, 在细分市场提供与模拟产品强耦合应用方案


5、软件

(1)SiliconLabs的Simplicity Studio 6也开始支持VS Code

继Nordic,ST,NXP,瑞萨后,Simplicity Studio 6也是支持VS Code。VSCode终将成为各大IC厂家嵌入式编程的最终归宿。

Simplicity Studio - Silicon Labs


(2)Embedded Studio升级至V7.32

SEGGER - The Embedded Experts - Downloads - Embedded Studio


(3)CMSIS-DSP软件包升级至V1.15.0

GitHub - ARM-software/CMSIS-DSP: CMSIS-DSP embedded compute library for Cortex-M and Cortex-A

ARM.CMSIS-DSP.1.15.0.pack (7.74 MB)


6、谷歌相关

(1)谷歌安卓团队推出的免费Rust课程

Welcome to Comprehensive Rust 🦀 - Comprehensive Rust 🦀

这个是一个零基础上手教程,课程涵盖 Rust 的大部分知识,从基本语法到高级玩法和错误处理。

让大家全面了解 Rust 语法和语言。使大家能够在 Rust 中修改现有程序和编写新程序。在此基础上,让大家深入研究一个或多个专业主题,比如Rust for Android,Rust for 单片机和MPU以及经典并发(使用线程和互斥锁)和异步/等待并发


(2)谷歌的MediaPipe为树莓派和iOS提供支持
https://developers.googleblog.com/2023/05/introducing-mediapipe-solutions-for-on-device-machine-learning.html
https://developers.googleblog.com/2023/08/mediapipe-for-raspberry-pi-and-ios.html

今年5月份的Google I/O 2023推出了 MediaPipe 解决方案,这是一个新的设备端机器学习工具集合,可简化开发人员流程。它由MediaPipe Studio,MediaPipe Tasks和MediaPipe Model Maker组成。这些工具为移动、Web、桌面和 IoT 开发人员的常见设备上机器学习任务(如音频分类、分段和文本嵌入)提供从无代码到低代码的解决方案。

面部表情:

图像分割:

人脸样式器:

各种手势效果:


7、PCB AI设计,设计速度提升10倍

A Better Way to Build PCBs | Flux

可以在线体验效果,无需下载


8、坛友分享

(1)分享一个py脚本,能把GB2312转成UTF-8

来自作者:会飞的猪_2020

分享一个py脚本,能把GB2312转成UTF-8 - 开发环境 - 硬汉嵌入式论坛 - Powered by Discuz!


(2)OpenOCD笔记

来自作者wanower

OpenOCD笔记 - 开发环境 - 硬汉嵌入式论坛 - Powered by Discuz!


(3)RP2040 PIO实现任意波形发生器

来自作者leida_wt

RP2040 PIO实现任意波形发生器 - 树莓派RP2040 - 硬汉嵌入式论坛 - Powered by Discuz!

核心指标
采样率:125MSa/S
位数:14bit
输出幅值范围:0~2Vpp
输出频率范围:0~50MHz(正弦)

20MHz 正弦

1MHz 任意波形


9、开源无线电加密狗YARD Stick One

YARD Stick One - Great Scott Gadgets

YARD Stick One可以发送或接收频率低于 1 GHz 的数字无线信号,附带安装了rfcat固件,允许用户从交互式Python shell或计算机上运行自己的程序控制无线收发器

规格:

效果:


10、H7-TOOL本周进展

H7-TOOL详细介绍:H7-TOOL开发工具,1拖4/16脱机烧录,高速DAPLINK,RTOS Trace,CAN/串口助手, 示波器, RTT等,支持WiFi,以太网,高速USB和手持 - H7-TOOL开发工具 - 硬汉嵌入式论坛 - Powered by Discuz!

(1)分享 H7-TOOL的LUA小程序访问AP和DP调试寄存器方法

H7-TOOL的LUA小程序访问AP和DP调试寄存器方法(2023-08-16) - H7-TOOL开发工具 - 硬汉嵌入式论坛 - Powered by Discuz!


(2)H7-TOOL开始支持SPI和I2C控制器的从机模式。

SPI和I2C控制器的主机模式很早就发布了,对应的从机模式也准备上线,当前已经把I2C从机模式制作完成,对应的LUA函数已经注册好了。

这两天SPI从机模式也将完成:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/122341.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

机器学习笔记之最优化理论与方法(七)无约束优化问题——常用求解方法(上)

机器学习笔记之最优化理论与方法——基于无约束优化问题的常用求解方法[上] 引言总体介绍回顾:线搜索下降算法收敛速度的衡量方式线性收敛范围高阶收敛范围 二次终止性朴素算法:坐标轴交替下降法最速下降法(梯度下降法)梯度下降法的特点 针对最速下降法缺…

《vue3实战》运用push()方法实现电影评价系统的添加功能

目录 前言 电影评价系统的添加功能是什么? 电影评价系统的添加功能有什么作用? 一、push()方法是什么?它有什么作用? 含义: 作用: 二、功能实现 这段是添加开始时点击按钮使…

用户端APP自动化测试_L2

目录: appium server 环境安装capability 进阶用法元素定位工具高级定位技巧-xpath 定位高级定位技巧-css 定位与原生定位特殊控件 toast 识别显式等待高级使用高级控件交互方法设备交互api模拟器控制雪球财经app股票详情功能点自动化测试实战 1.appium server 环…

Podman安装与使用

1.Podman简介 Podman是一个无守护进程的容器引擎,用于在Linux系统上开发、管理和运行OCI容器。 Podman的主要功能包括: 创建和管理容器:Podman可以创建、启动、停止和删除容器,以及管理容器的生命周期。容器镜像管理&#xff1…

WSL中为Ubuntu和Debian设置固定IP的终极指南

文章目录 **WSL中为Ubuntu和Debian设置固定IP的终极指南****引言/背景****1. 传统方法****2. 新方法:添加指定IP而不是更改IP****结论**WSL中为Ubuntu和Debian设置固定IP的终极指南 引言/背景 随着WSL(Windows Subsystem for Linux)的普及,越来越多的开发者开始在Windows…

77 # koa 中间件的应用

调用 next() 表示执行下一个中间件 const Koa require("koa");const app new Koa();app.use(async (ctx, next) > {console.log(1);next();console.log(2); });app.use(async (ctx, next) > {console.log(3);next();console.log(4); });app.use(async (ctx,…

说说 TCP的粘包、拆包

分析&回答 拆包和粘包是在socket编程中经常出现的情况, 在socket通讯过程中,如果通讯的一端一次性连续发送多条数据包,tcp协议会将多个数据包打包成一个tcp报文发送出去,这就是所谓的粘包。如果通讯的一端发送的数据包超过一…

MRI多任务技术及应用

目录 一、定量心血管磁共振成像(CMR)的改进方法二、磁共振多任务三、磁共振多任务的成像框架四、磁共振多任务的图像模型和采样和重建策略五、利用MR多任务进行快速三维稳态CEST(ss-CEST)成像5.1 利用MR多任务进行快速三维稳态CEST(ss-CEST)成像介绍5.2 …

【数据结构】链表

【数据结构】 链表 1.链表的概念及结构 链表是一种物理存储单元上非连续、非顺序的存储结构,数据元素的逻辑顺序是通过链表中的指针链接次序实现的。链表由一系列结点(链表中每一个元素称为结点)组成,结点可以在运行时动态生成。…

C# void 关键字学习

C#中void关键字是System.Void的别名; 可以将 void 用作方法(或本地函数)的返回类型来指定该方法不返回值; 如果C#方法中没有参数,则不能将void用作参数;这是与C语言不同的,C语言有…

rk3568 SDK的buildroot添加package

开发源码工程 首先进入<SDK>/app 目录下&#xff0c;在该目录下创建一个名为“mypackage”的文件夹。 在 mypackage 目录下创建一个.c 源文件 main.c&#xff0c;以及一个 Makefile 文件。 大家可以自己在 main.c 源文件中编写一个简单的测试代码&#xff0c;譬如打印一…

设计模式-建造者(生成器)模式

文章目录 简介建造者模式的核心概念产品&#xff08;Product&#xff09;建造者&#xff08;Builder&#xff09;指挥者&#xff08;Director&#xff09;建造者模式与其他设计模式的关系工厂模式和建造者模式uml对比 建造者模式的实现步骤建造者模式的应用场景spring中应用 建…

RabbtiMQ的安装与使用

一、安装Erlang与Rabbitmq 安装教程本教程是在centos8下试验的&#xff0c;其实linux系统的都差不多RabbitMQ官方&#xff1a;Messaging that just works — RabbitMQRabbitMQ是开源AMQP实现&#xff0c;服务器端用Erlang语言编写&#xff0c;Python、Ruby、 NET、Java、JMS、c…

软件架构之前后端分离架构服务器端高并发演进之路

软件架构之前后端分离架构&服务器端高并发演进之路 前后端分离架构从业务角度从质量属性从性能角度 服务器端关于不同并发量的演进之路1. 单体架构2. 第一次演进&#xff1a;应用服务器和数据库服务器分开部署3. 第二次演进&#xff1a;引入本地缓存和分部署缓存4. 第三次演…

录屏没有声音?录制声音,3招教你搞定

在录制屏幕内容时&#xff0c;声音是不可或缺的要素之一&#xff0c;可以有效地增强录制视频的表现力和传达效果。然而&#xff0c;有时候可能会遇到录屏没有声音的情况&#xff0c;这可能会让录制的视频失去一部分重要信息。本文将为您介绍录屏录声音的3种方法&#xff0c;帮助…

nios里面打开eclipse遇到Unresolved inclusion: “system.h“等问题

问题&#xff1a;在Nios中打开软核部分代码时&#xff0c;遇到一堆Unresolved inclusion: "system.h"等问题报错 原因&#xff1a;bsp文件和软核没关联&#xff0c;导致找不到头文件地址&#xff0c;关联一下就好 解决步骤&#xff1a; 右键bsp文件&#xff0c;点击…

肖sir__设计测试用例方法之等价类02_(黑盒测试)

设计测试用例方法之等价类02_&#xff08;黑盒测试&#xff09; 一、掌握常用的设计方法: 黑盒测试方法&#xff1a;等价类、边界值&#xff0c;状态迁移法、场景法、判定表、因果图、正交表&#xff0c;&#xff08;7种&#xff09; 经验测试方法&#xff1a;错误推测法、异常…

[数据集][目标检测]裸土识别裸土未覆盖目标检测数据集VOC格式857张2类别

数据集格式&#xff1a;Pascal VOC格式(不包含分割路径的txt文件和yolo格式的txt文件&#xff0c;仅仅包含jpg图片和对应的xml) 图片数量(jpg文件个数)&#xff1a;857 标注数量(xml文件个数)&#xff1a;857 标注类别数&#xff1a;2 标注类别名称:["luotu","n…

数学建模:相关性分析

&#x1f506; 文章首发于我的个人博客&#xff1a;欢迎大佬们来逛逛 数学建模&#xff1a;相关性分析 文章目录 数学建模&#xff1a;相关性分析相关性分析两变量的相关分析PearsonSpearmanKendall tua-b 双变量关系强度测量的指标相关系数的性质代码实现example偏相关分析 相…

尚硅谷SpringMVC (9-13)

九、HttpMessageConverter HttpMessageConverter &#xff0c;报文信息转换器&#xff0c;将请求报文转换为 Java 对象&#xff0c;或将 Java 对象转换为响应报文 HttpMessageConverter提供了两个注解和两个类型&#xff1a; RequestBody &#xff0c; ResponseBody &#xff…