练习十二:利用SRAM设计一个FIFO

利用SRAM设计一个FIFO

      • 1,任务目的
      • 2,设计要求
      • 3,FIFO接口的设计思路
      • 4,FIFO接口的测试,top.v
      • 5,FIFO接口的参考设计,fifo_interface.v
      • 6,SRAM模型,sram.v代码
      • 7,vivado生成的RTL原理图
      • 8,波形图
        • 8.1,波形全图
        • 8.2,波形细化
      • 9,

1,任务目的

(1)学习和掌握存取队列管理的状态机设计的基本方法;
(2)了解并掌握用存储器构成FIFO的接口设计的基本技术;
(3)用工程概念来编写完整的测试模块,达到完整测试覆盖;

在本练习中,要求利用练习十一中提供的SRAM模型,设计SRAM读写控制逻辑,使SRAM的行为对用户表现为一个FIFO(先进先出存储器)。

2,设计要求

本练习要求同学设计的FIFO 是同步FIFO,即对FIFO的读/写使用同一个时钟。该FIFO应当提供用户读使能(fiford)和写使能(fifowr)输入控制信号,并输出指示FIFO状态的非空(nempty)和非满(nfull)信号,FIFO的输入、输出数据使用各自的数据总线:in_data和out_data。

实验图1是FIFO接口示意图
在这里插入图片描述

3,FIFO接口的设计思路

4,FIFO接口的测试,top.v

在完成一个设计后,需要进行测试以确认设计的正确性和完整性。而要进行测试,就需要编写测试激励和结果检查程序,即测试平台(testbench)。在某些情况下,如果设计的接口能够预先确定,测试平台的编写也可以在设计完成之前就进行,这样做的好处:在设计测试平台的同时也在更进一步深入了解设计要求,有助于理清设计思路,及时发现设计方案的错误。

编写测试激励时,除了注意对实际可能存在的各种情况的覆盖外,还要有意针对非正常情况下的操作进行测试。在本练习中,就应当进行在FIFO读空后继续读取,FIFO写满后继续写入和FIFO复位后马上读取等操作的测试。

测试激励中通常会有一些复杂操作需要反复进行,如本练习中对FIFO的读写操作。这时可以将这些复杂操作纳入到几个task中,即减少了激励编写的工作量,也使得程序的可读性更好。

下面的测试程序作为一个参考,先用这段程序测试所设计的FIFO接口,然后编写更全面的测试程序。

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/12/04 16:18:41
// Design Name: 
// Module Name: test_fifo
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
////	测试信号`define		FIFO_SIZE	8
//      `include	"sram.v"	//	所有的仿真工具不需要加这句,只要 sram.v 模块编译就可以了	
`timescale	1ns/ 1nsmodule	test_fifo;
reg		[7:0]	in_data;			//	FIFO 数据总线
reg				fiford, fifowr;		//	FIFO 控制信号wire	[7:0]	out_data;
wire			nfull, nempty;		// 	FIFO 状态信号reg				clk, rst;wire	[7:0]	sram_data;			//	SRAM 数据总线
wire	[10:0]	address;			//  SRAM 地址总线
wire			rd, wr;				//  SRAM 读写控制信号reg		[7:0]	data_buf	[`FIFO_SIZE:0];		// 数据缓存,用于结果检查
integer			index;//	系统时钟
initial		clk = 0;
always		#25		clk = ~clk;//	测试激励序列
initial		beginfiford		= 1;fifowr		= 1;rst			= 1;#40		rst			= 0;	
#42		rst			= 1;if(nempty)		$display($time, "Error: FIFO be empty, nempty should be low. \n");//		连续写 FIFOindex		= 0;	
repeat(`FIFO_SIZE)	begindata_buf[index]	= $random;write_fifo(data_buf[index]);index	= index + 1;endif(nfull)	$display($time, "Error: FIFO full, nfull should be low. \n");
repeat(2)	write_fifo($random);#200//		连续读FIFOindex		= 0;
read_fifo_compare(data_buf[index]);
if(~nfull)		$display($time, "Error: FIFO not full, nfull should be high. \n");repeat(`FIFO_SIZE - 1)	beginindex	 	= index + 1;read_fifo_compare(data_buf[index]);
endif(nempty)	$display($time, "Error: FIFO be empty, nempty should be low. \n");repeat(2)	read_fifo_compare(8'bx);reset_fifo;//		写后续 FIFO
repeat(`FIFO_SIZE * 2)		begindata_buf[0]		= $random;write_fifo(data_buf[0]);read_fifo_compare(data_buf[0]);
end//		异常操作
reset_fifo;
read_fifo_compare(8'bx);
write_fifo(data_buf[0]);
read_fifo_compare(data_buf[0]);$stop;endfifo_interface	fifo_mk(
.in_data			(in_data		),
.out_data			(out_data		),
.fiford				(fiford			),
.fifowr				(fifowr			),
.nfull				(nfull			),
.nempty				(nempty			),
.address			(address		),
.sram_data			(sram_data		),
.rd					(rd				),
.wr					(wr				),
.clk				(clk			),
.rst				(rst			)
);sram	m1(
.Address			(address		),
.Data				(sram_data		),
.SRG				(rd				),		//	SRAM 读使能
.SRE				(1'b0			),		//	SRAM 片选,低有效
.SRW				(wr				)		//	SRAM 写使能
);task			write_fifo;
input	[7:0]	data;beginin_data		= data;
#50		fifowr		= 0;			//	往 SRAM 中写数
#200	fifowr		= 1;
#50;
endendtasktask	read_fifo_compare;
input	[7:0]	data;
begin
#50		fiford		= 0;			// 从 SRAM 中读数		
#200	fiford		= 1;if(out_data != data)$display($time, "Error: Data retrieved (%h) not match the one stored(%h) .\n", out_data, data);
#50;
end
endtasktask	reset_fifo;
begin
#40		rst			= 0;
#40		rst			= 1;
end
endtaskendmodule

5,FIFO接口的参考设计,fifo_interface.v

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/12/04 16:14:09
// Design Name: 
// Module Name: fifo_interface
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
////	FIFO接口的参考设计
`define		SRAM_SIZE	8	// 为减少对 FIFO 控制器的测试工作量,置 SRAM 空间为 8 字节
//      `timescale	1ns/ 1ns	module	fifo_interface(
in_data,		// 	用户的输入数据总线 
out_data, 		//	用户的输出数据总线
fiford,			//	FIFO读控制信号,低电平有效
fifowr,			//	FIFO写控制信号,低电平有效
nfull,			//	
nempty,			//	
address,		//	到 SRAM 的地址总线
sram_data,		//	到 SRAM 的双向数据总线
rd,				//	SRAM 读使能,低电平有效
wr,				//	SRAM 写使能,低电平有效
clk,			//	系统时钟信号
rst				//	全局复位信号,低电平有效
);
//	来自用户的控制输入信号
input			fiford, fifowr, clk, rst;//	来自用户的数据信号
input	[7:0]	in_data;
output	[7:0]	out_data;reg		[7:0]	in_data_buf;	//	输入数据缓冲区
reg		[7:0]	out_data_buf;	//	输出数据缓冲区//	输出到用户的状态指示信号
output			nfull, nempty;
reg				nfull, nempty;//	输出到 SRAM 的控制信号
output			rd, wr;//	到 SRAM 的双向数据总线
inout	[7:0]	sram_data;//	输出到 SRAM 的地址总线
output 	[10:0]	address;
reg		[10:0]	address;//	internal register
reg		[10:0]	fifo_wp;		// 	FIFO写指针
reg		[10:0]	fifo_rp;		//	FIFO读指针reg		[10:0]	fifo_wp_next;	//	fifo_wp 的下一个值
reg		[10:0]	fifo_rp_next;	//	fifo_rp	的下一个值reg		near_full, near_empty;reg		[3:0]	state;			//	SRAM 操作状态机寄存器parameter		idle 			= 4'b0000;
parameter		read_ready		= 4'b0100;
parameter		read			= 4'b0101;
parameter		read_over		= 4'b0111;parameter		write_ready		= 4'b1000;
parameter		write			= 4'b1001;
parameter		write_over		= 4'b1011;//	SRAM 操作状态机
always@(posedge clk or negedge rst)if(~rst)state		<= idle;elsecase(state)idle:		// 等待 FIFO 的操作控制信号if(fifowr	== 0 && nfull)		//	用户发出写 FIFO 申请,且 FIFO 未满state	<= write_ready;else if(fiford == 0 && nempty)	//	用户发出读 FIFO 申请,且 FIFO 未空state	<= read_ready;elsestate	<= idle;			// 没有对 FIFO 操作的申请read_ready:		// 建立 SRAM 操作所需地址和数据state	<= read;read:			//	等待用户结束当前读操作if(fiford == 1)state	<= read_over;elsestate	<= read;read_over:		//	继续给出 SRAM 地址以保证数据稳定state	<= idle;write_ready:	//	建立 SRAM 操作所需地址和数据state	<= write;write:			//	等待用户结束当前写操作if(fifowr == 1)state	<= write_over;elsestate	<= write;write_over:		//	继续给出 SRAM 地址和写入数据以保证数据稳定state	<= idle;default:state	<= idle;endcase//	产生 SRAM 操作相关信号
assign		rd = ~state[2];		// state 为 read_ready 或 read 或 read_over 
assign		wr = (state == write) ? fifowr : 1'b1;always@(posedge clk)if(~fifowr)in_data_buf		<= in_data;assign		sram_data = (state[3])? in_data_buf : 8'hzz;
//	state 为 write_ready 或 write 或 write_overalways@(state or fiford or fifowr or fifo_wp or fifo_rp)if(state[2] || ~fiford)address		= fifo_rp;else if(state[3] || ~fifowr)address		= fifo_wp;elseaddress		= 'bz;//	产生 FIFO 数据
assign		out_data	= (state[2]) ? sram_data : 8'bz;always@(posedge clk)if(state == read)out_data_buf	<= sram_data;//	计算 FIFO 读写指针
always@(posedge clk or negedge rst)if(~rst)fifo_rp		<= 0;else if(state == read_over)fifo_rp		<= fifo_rp_next;always@(fifo_rp)if(fifo_rp == `SRAM_SIZE - 1)fifo_rp_next	= 0;else fifo_rp_next	= fifo_rp + 1;always@(posedge clk or negedge rst)if(~rst)fifo_wp		<= 0;else if(state == write_over)fifo_wp		<= fifo_wp_next;always@(fifo_wp)if(fifo_wp == `SRAM_SIZE - 1)fifo_wp_next	= 0;elsefifo_wp_next	= fifo_wp + 1;always@(posedge clk or negedge rst)if(~rst)near_empty	<= 1'b0;else if(fifo_wp == fifo_rp_next)near_empty	<= 1'b1;elsenear_empty	<= 1'b0;always@(posedge clk or negedge rst)if(~rst)nempty		<= 1'b0;else if(near_empty && state == read)nempty		<= 1'b0;else if(state == write)nempty		<= 1'b1;always@(posedge clk or negedge rst)if(~rst)near_full	<= 1'b0;else if(fifo_rp == fifo_wp_next)near_full	<= 1'b1;else near_full	<= 1'b0;always@(posedge clk or negedge rst)if(~rst)nfull		<= 1'b1;else if(near_full && state == write)nfull		<= 1'b0;else if(state == read)nfull		<= 1'b1;//      //  调用 SRAM
//      sram	m1(
//      .Address			(address		),
//      .Data				(sram_data		),
//      .SRG				(rd				),		//	SRAM 读使能
//      .SRE				(1'b0			),		//	SRAM 片选,低有效
//      .SRW				(wr				)		//	SRAM 写使能
//      );endmodule

6,SRAM模型,sram.v代码

`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/12/04 16:18:04
// Design Name: 
// Module Name: sram
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
//`timescale 1ns / 1ps
//
// Company: 
// Engineer: 
// 
// Create Date: 2023/12/01 17:38:38
// Design Name: 
// Module Name: sram
// Project Name: 
// Target Devices: 
// Tool Versions: 
// Description: 
// 
// Dependencies: 
// 
// Revision:
// Revision 0.01 - File Created
// Additional Comments:
// 
///*	sram is Verilog HDL model for HM - 65162, 2K*8 bit Asynchronous(异步) CMOS
Static RAM. It is used in simulation to substitute the real RAM to verify whether
the writing or reading of the RAM is OK.
This module is a behavioral model for simulation only, not synthesizable. It's
writing and reading function are verified.
*/module		sram(
Address, Data, SRG, SRE, SRW
);
input	[10:0]	Address;
input			SRG;	// output enable
input			SRE;	// chip   enable
input			SRW;	// write  enableinout	[7:0]	Data;	// Buswire	[10:0]	Addr	= Address;
reg		[7:0]	RdData;
reg		[7:0]	SramMem	[0:'h7ff];
reg				RdSramDly,	RdFlip;
wire	[7:0]	FlpData;
wire	[7:0]	Data;reg				WR_flag;	// to judge the signals according to the specification of // HM-65162
integer			i;wire			RdSram = ~SRG & ~SRE;
wire			WrSram = ~SRW & ~SRE;reg		[10:0]	DelayAddr;
reg		[7:0]	DelayData;
reg				WrSramDly;integer			file;assign			FlpData	= (RdFlip) 	  ? ~RdData : RdData;
assign			Data	= (RdSramDly) ? FlpData : 'hz;/*
parameters of read circle
*///		参数序号、最大或最小、参数含义
parameter		TAVQV	= 90,	// 2, max, address access timeTELQV	= 90,	// 3, max, chip enable access timeTELQX	= 5,	// 4, min, chip enable output enable time TGLQV	= 65,	// 5, max, output enable access tiemTGLQX	= 5,	// 6, min, output enable output enable time TEHQZ 	= 50,	// 7, max, chip enable output disable timeTGHQZ	= 40,	// 8, max, output enable output disable timeTAVQX	= 5;	// 9, min, output hold from address changeparameter		TAVWL	= 10,	// 12, min, address setup timeTWLWH	= 55,	// 13, min, chip enable pulse setup time,// write enable pluse width,TWHAX	= 15,	// 14, min10, write enable read setup time,// 读上升沿后地址保留时间TWLQZ	= 50,	// 16, max, write enable output disable timeTDVWH	= 30,	// 17, min, data setup timeTWHDX	= 20,	// 18, min15, data hold timeTWHQX	= 20,	// 19, min0, write enable output enable time, 0TWLEH	= 55,	// 20, min, write enable pulse setup timeTDVEH	= 30,	// 21, min, chip enable data setup timeTAVWH	= 70;	// 22, min65, address valid to end of writeinitial		beginfile = $fopen("ramlow.txt");if(!file)	begin$display("Could not open the file.");$stop;end
endinitial		beginfor(i = 0; i < 'h7ff; i = i + 1)SramMem[i] = i;// monitor($time, "DelayAddr = %h, DelayData = %h", DelayAddr, DelayData);
endinitial		RdSramDly	= 0;
initial		WR_flag		= 1;//		READ CIRCLE
always@(posedge RdSram)		#TGLQX	RdSramDly = RdSram;
always@(posedge SRW)		#TWHQX	RdSramDly = RdSram;
always@(Addr)	begin#TAVQX;RdFlip	= 1;#(TGLQV - TAVQX);		// address access timeif(RdSram)RdFlip = 0;
end	always@(posedge RdSram)	beginRdFlip	= 1;#TAVQV;					// output enable access timeif(RdSram)	RdFlip = 0;
endalways@(Addr)			#TAVQX	RdFlip 		= 1;
always@(posedge SRG)	#TEHQZ	RdSramDly	= RdSram;
always@(posedge SRE)	#TGHQZ	RdSramDly	= RdSram;
always@(negedge SRW)	#TWLQZ	RdSramDly	= 0;always@(negedge WrSramDly or posedge RdSramDly)		RdData = SramMem[Addr];//		WRITE CIRCLE
always@(Addr)			#TAVWL	DelayAddr	= Addr;		// Address setup
always@(Data)			#TDVWH	DelayData	= Data;		// Data setup
always@(WrSram)			#5		WrSramDly	= WrSram;
always@(Addr or Data or WrSram)	WR_flag		= 1;always@(negedge SRW)	begin#TWLWH;					// Write enable pulse widthif(SRW)		beginWR_flag		= 0;$display("ERROR! Can't write! Write enable time(W) is too short!");end
endalways@(negedge SRW)	begin#TWLEH;					// Write enable pulse setup timeif(SRE)		beginWR_flag		= 0;$display("ERROR! Can't write! write enable pulse setup time(E) is too short!");end
endalways@(posedge SRW)	begin#TWHAX;					// Write enable read setup timeif(DelayAddr !== Addr)	beginWR_flag		= 0;$display("ERROR! Can't write! Write enable read setup time is too short!");end
endalways@(Data)if(WrSram)	begin#TDVEH;				// chip enable data setup timeif(SRE)		beginWR_flag	= 0;$display("ERROR! Can't write! chip enable data setup time is too short!");end
endalways@(Data)if(WrSram)	begin#TDVEH;if(SRW)		beginWR_flag = 0;$display("ERROR! Can't write! chip enable data setup time is too short!");end
endalways@(posedge SRW)	begin#TWHDX;			// Data hold timeif(DelayData !== Data)$display("Warning! Data hold time is too short!");
endalways@(DelayAddr or DelayData or WrSramDly)if(WrSram && WR_flag)	beginif(!Addr[5])	begin#15	SramMem[Addr]	= Data;//	$display("mem[%h] = %h", Addr, Data);$fwrite(file, "mem[%h] = %h", Addr, Data);if(Addr[0] && Addr[1])	$fwrite(file, "\n");endelse	begin$fclose(file);$display("Please check the txt.");$stop;end
endendmodule

7,vivado生成的RTL原理图

在这里插入图片描述

8,波形图

8.1,波形全图

在这里插入图片描述

8.2,波形细化

在这里插入图片描述

9,

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/209814.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Javaweb之Vue路由的详细解析

5 Vue路由 5.1 路由介绍 将资代码/vue-project(路由)/vue-project/src/views/tlias/DeptView.vue拷贝到我们当前EmpView.vue同级&#xff0c;其结构如下&#xff1a; 此时我们希望基于4.4案例中的功能&#xff0c;实现点击侧边栏的部门管理&#xff0c;显示部门管理的信息&am…

新的 BLUFFS 攻击导致蓝牙连接不再私密

蓝牙是一种连接我们设备的低功耗无线技术&#xff0c;有一个新的漏洞需要解决。 中间的攻击者可以使用新的 BLUFFS 攻击轻松窥探您的通信。 法国研究中心 EURECOM 的研究员 Daniele Antonioli 演示了六种新颖的攻击&#xff0c;这些攻击被定义为 BLUFFS&#xff08;蓝牙转发和…

计算机操作系统1

.11.操作系统的基本定义 2.操作系统的四大特征 2.1.操作系统的虚拟特征 3.操作系统的功能&#xff1a; 1.处理器管理 2.存储器管理 3.文件管理 4.设备管理 4.总结&#xff1a; 1.并发和共享互为存在&#xff0c;没有并发也就没有共享&#xff0c;反之也是。 2.并发和并行的…

基于PHP的在线日语学习平台

有需要请加文章底部Q哦 可远程调试 PHP在线日语学习平台 一 介绍 此日语学习平台基于原生PHP开发&#xff0c;数据库mysql。系统角色分为用户和管理员。(附带参考设计文档) 技术栈&#xff1a;phpmysqlphpstudyvscode 二 功能 学生 1 注册/登录/注销 2 个人中心 3 查看课程…

【MySQL的DQL查询语句】

MySQL的DQL查询语句-----在Navicat下 将学生表导入Navicat中查询语句查询一整张表查询年龄大于22年龄大于22的女生查找文科的学生查找六班的学生计算学生的总分 &#xff08;group by&#xff09;合并两表 &#xff08;join on xxxx&#xff09;合并两张表 并求总分先合并在聚合…

今日实施|解读新国标对数据库审计的能力要求

数据库审计是数据安全建设不可或缺的技术工具之一&#xff0c;无论是国家级的法律或标准&#xff0c;还是等保以及行业级的安全标准均对使用数据库审计有明确要求。据相关数据统计显示&#xff0c;数据库审计产品的市场需求已占据中国数据库安全市场容量的6成以上。 12月1日&am…

rabbitMQ镜像队列的使用

在rabbitMQ集群中&#xff0c;默认发送消息时&#xff0c;队列默认时在一个节点上存在的。 我们以node01 node02 node03三节点集群为例&#xff0c;在node01声明队列发送消息后&#xff0c;发现&#xff1a; 测试队列只在节点node01上出现。 我们手动停止node01后&#xff0c…

为什么Nginx被称为反向代理

下图显示了 &#x1d41f;&#x1d428;&#x1d42b;&#x1d430;&#x1d41a;&#x1d42b;&#x1d41d; &#x1d429;&#x1d42b;&#x1d428;&#x1d431;&#x1d432; 和 &#x1d42b;&#x1d41e;&#x1d42f;&#x1d41e;&#x1d42b;&#x1d42c;&#…

有哪些可信的SSL证书颁发机构?

目前市面上所显示的SSL证书颁发机构可所谓不计其数&#xff0c;类型也是多样&#xff0c;就好比我们同样是买一件T恤&#xff0c;却有百家不同类型的店铺一个道理。根据CA里面看似很多&#xff0c;但能拿到99%浏览器及设备信任度的寥寥无几&#xff0c;下面小编整理出几家靠谱可…

Day50力扣打卡

打卡记录 三个无重叠子数组的最大和 链接 滑动窗口 class Solution:def maxSumOfThreeSubarrays(self, nums: List[int], k: int) -> List[int]:n, ans len(nums), []sum1 sum2 sum3 0maxsum1idx, maxsum12idx 0, ()maxsum1 maxsum12 total 0for i in range(2 * …

Ubuntu Server 20.04.6下Anaconda3安装Pytorch

环境 Ubuntu 20.04.6 LTS Anaconda3-2023.09-0-Linux-x86_64.sh conda 23.7.4 Pytorch 1.11.0 安装 先创建一个工作环境&#xff0c;环境名叫lia&#xff1a; conda create -n lia python3.8环境的使用方法如下&#xff1a; conda activate lia # 激活环境 conda deactiv…

centos7 设置静态ip

文章目录 设置VMware主机设置centos7 设置 设置VMware 主机设置 centos7 设置 vim /etc/sysconfig/network-scripts/ifcfg-ens33重启网络服务 service network restart检验配置是否成功 ifconfig ip addr

用python写一个简单的爬虫

爬虫是一种自动化程序&#xff0c;用于从互联网上获取数据。它能够模拟人类浏览网页的行为&#xff0c;访问网页并提取所需的信息。爬虫在很多领域都有广泛的应用&#xff0c;例如数据采集、信息监控、搜索引擎索引等。 下面是一个使用Python编写的简单爬虫示例&#xff1a; …

【蓝桥杯】带分数

带分数 题目要求用一个ab/c的形式得到一个值&#xff0c;而且只能在1~9里面不重复的组合。 可以对1~9进行全排列&#xff0c;然后不断划分区间。 #include<iostream> #include<vector> using namespace std; int st[15]; int num[15]; int res; int n;int calc(i…

SQL Server 数据库,创建数据表(使用T-SQL语句)

2.3表的基本概念 表是包含数据库中所有数据的数据库对象。数据在表中的组织方式与在电子表格中相似&#xff0c;都是 按行和列的格式组织的&#xff0c;每行代表一条唯一的记录&#xff0c;每列代表记录中的一个字段.例如&#xff0c;在包含公 司员工信息的表中&#xff0c;每行…

Echarts大屏可视化_05 折线图的定制开发

继续跟着pink老师学习Echarts相关内容&#xff01;&#xff01;&#xff01;&#xff01;&#xff01;&#xff01;&#xff01;&#xff01;&#xff01; 折线图1 1.引入 折线图选取示例地址 标题没有用到就给他删了 直接引入 注意这里是line下面的chart 获取dom元素一定不…

采集工具-免费采集器下载

在当今信息时代&#xff0c;互联网已成为人们获取信息的主要渠道之一。对于研究者和开发者来说&#xff0c;如何快速准确地采集整个网站数据是至关重要的一环。以下将从九个方面详细探讨这一问题。 确定采集目标 在着手采集之前&#xff0c;明确目标至关重要。这有助于确定采集…

Huawei FusionSphere FusionCompte FusionManager

什么是FusionSphere FusionSphere 解决方案不独立发布软件&#xff0c;由各配套部件发布&#xff0c;请参 《FusionSphere_V100R005C10U1_版本配套表_01》。 目前我们主要讨论FusionManager和FusionCompute两个组件。 什么是FusionCompte FusionCompute是华为提供的虚拟化软…

Ubuntu22.04 交叉编译mp4V2 for Rv1106

一、配置工具链环境 sudo vim ~/.bashrc在文件最后添加 export PATH$PATH:/opt/arm-rockchip830-linux-uclibcgnueabihf/bin 保存&#xff0c;重启机器 二、下载mp4v2 下载路径&#xff1a;MP4v2 | mp4v2 三、修改CMakeLists.txt 四、执行编译 mkdir build cd buildcmak…

现在的00后,实在是太卷了......

现在的小年轻真的卷得过分了。前段时间我们公司来了个00年的&#xff0c;工作没两年&#xff0c;跳槽到我们公司起薪18K&#xff0c;都快接近我了。后来才知道人家是个卷王&#xff0c;从早干到晚就差搬张床到工位睡觉了。 最近和他聊了一次天&#xff0c;原来这位小老弟家里条…