【FPGA】电梯楼层显示(简易)

前言

        这是作者室友的项目,本来不管作者事儿的,但是后来听到说是室友去网上找人花了80块买了个劣质的,不仅是从CSDN上抄的,而且使用的板子还不符合室友的要求。可叹作者心软啊,顺便给室友做了。

        在代码实现部分会给出设计理念和分析,整体资源可以直接下载压缩包(手机端依然看不到,还是不知道为什么)。

题目需求及分析

需求

基于双向计数器设计一个电梯楼层显示电路

说明:
        设计多层电梯楼层显示电路。电梯每经过一层,“楼层信号”输入一个可逆计数脉冲电梯上升时“上升”为高电平,“下降”为低电平,下降时相反。

要求:
        1、电梯楼层数为2(至少2层)
        2、楼层数需使用7位译码器显示
        3、可逆计数功能需用双向计数器实现 其输入端包含上升和下降操作信号、楼层信号、校正
        4、上升下降状态过程中用小彩灯灯带变化体现

分析

1. sw1作为总开关,置0清零,置1工作

2. sw2作为楼层“上升”/“下降”控制开关:置0时呈下降状态,楼层信号发生后楼层数减一;置1时呈上升状态,楼层信号发生后楼层数加一

3. led流水灯作为上升下降的具象化表示:向上流水表示上升,向下流水表示下降

4. 辅助功能:显然对于按键,需要有按键消抖的功能;显示分数则需要数码管驱动模块;而关于时序电路中必不可少的分频器也是需要的

代码实现

由于CSDN编辑文章工具中没有VDL语言的设置,这里就用C++来显示代码了(纯黑实属难看)。

1. 楼层显示模块

(1) 中控:elevator.v

module elevator(input clk,input rst,					//重置键input floor_signal,		//楼层信号//功能选择端input sw1,					//sw1作为总开关,置0清零,置1工作input sw2,					//sw2作为楼层“上升”/“下降”控制开关:0下1上output [8:0] segment_led_1,segment_led_2,    	  //数码管输出output [7:0] led);reg 	[7:0] floor_level;	//内部信号:当前楼层数reg	[7:0] display;			//显示输出  //电梯显示模块
always@(posedge clk_500hz)begin//sw1=0,此时楼层数清零,显示FF,作为reset态if(sw1 == 1'b0)beginfloor_level[7:0] <= 8'h00; display[7:0] = 8'hff;end//sw1,2=10,此时表示下降else if(sw1 == 1'b1 && sw2 == 1'b0)begin//清零if(!rst)beginfloor_level[7:0] <= 8'h00;end//楼层下降else if(!floor_signal && key_done)beginif(floor_level[3:0] > 4'd0)beginfloor_level[3:0] <= floor_level[3:0]-2'd1;floor_level[7:4] <= floor_level[7:4];endelse if(floor_level[3:0] == 4'd0)beginfloor_level[3:0] <= 4'h9;floor_level[7:4] <= floor_level[7:4]-4'h1;endend//将当前楼层数赋值给显示display[7:0] = floor_level[7:0];end//sw1,2=11,此时表示上升else if(sw1 == 1'b1 && sw2 == 1'b1)begin//清零if(!rst)beginfloor_level[7:0] <= 8'h00;end//楼层上升else if(!floor_signal && key_done)beginif(floor_level[3:0] < 4'd9)beginfloor_level[3:0] <= floor_level[3:0]+2'd1;floor_level[7:4] <= floor_level[7:4];endelse if(floor_level[3:0] == 4'd9)beginfloor_level[3:0] <= 4'h0;floor_level[7:4] <= floor_level[7:4]+4'h1;endend//将当前楼层数赋值给显示display[7:0] = floor_level[7:0];end
end
//例化数码管显示模块
segment
(.seg_data_1		(display[7:4]),  		//seg_data input.seg_data_2		(display[3:0]),  		//seg_data input.seg_led_1		(segment_led_1),  	//MSB~LSB = SEG,DP,G,F,E,D,C,B,A.seg_led_2		(segment_led_2)   	//MSB~LSB = SEG,DP,G,F,E,D,C,B,A
);//例化消抖module 
wire key_done; //有按键按下
debounce  //消抖模块
(.clk       (clk),.rst_n     (rst),.key_in    (floor_signal),.clk_500hz (clk_500hz),.key_done  (key_done)
);//例化流水灯
flashled u1 (                                   .clk	(clk),  .rst	(rst),.led	(led),.sw	(sw2)
);endmodule

(2) 数码管驱动:segment.v

  module segment(input  wire [3:0] seg_data_1,  //四位输入数据信号input  wire [3:0] seg_data_2,  //四位输入数据信号output wire [8:0] seg_led_1,  //数码管1,MSB~LSB = SEG,DP,G,F,E,D,C,B,Aoutput wire [8:0] seg_led_2   //数码管2,MSB~LSB = SEG,DP,G,F,E,D,C,B,A);reg[8:0] seg [15:0];           //存储7段数码管译码数据initial beginseg[0] = 9'h3f;   //  0seg[1] = 9'h06;   //  1seg[2] = 9'h5b;   //  2seg[3] = 9'h4f;   //  3seg[4] = 9'h66;   //  4seg[5] = 9'h6d;   //  5seg[6] = 9'h7d;   //  6seg[7] = 9'h07;   //  7seg[8] = 9'h7f;   //  8seg[9] = 9'h6f;   //  9seg[10]= 9'h77;   //  Aseg[11]= 9'h7C;   //  bseg[12]= 9'h39;   //  Cseg[13]= 9'h5e;   //  dseg[14]= 9'h79;   //  Eseg[15]= 9'h71;   //  Fendassign seg_led_1 = seg[seg_data_1];assign seg_led_2 = seg[seg_data_2];endmodule

(3) 按键消抖:debounce.v

module debounce
(input      clk   ,			//时钟input      rst_n ,			//复位键input      key_in,			//对应楼层信号output reg clk_500hz,		//分频出的500Hz时钟脉冲信号(该板使用的是12M晶振)output     key_done		//按键按下动作完成标志
);
reg [25:0]div_cnt;			//分频计数器always@(posedge clk or negedge rst_n)begin	//获得500Hz时钟脉冲信号if(!rst_n)begindiv_cnt <= 0;clk_500hz <= 0;endelse if(div_cnt == 1999)begin			//计数两千次反转状态div_cnt <= 0;clk_500hz <= ~clk_500hz;endelse begindiv_cnt <= div_cnt + 1'b1;clk_500hz <= clk_500hz;endendreg qout;
reg key_tmp1,key_tmp2;
parameter n = 10;
reg [25:0] cnt;always@(posedge clk_500hz or negedge rst_n) beginif(!rst_n) begincnt <= 0;qout <= 0;end	  else if(key_in==0) begin	//按键按下if(cnt == n-1) begin		//持续2ms的话判定按下cnt <= cnt;qout <= 1;endelse begincnt <= cnt+1;qout <= 0;endendelse beginqout <= 0;cnt <= 0;endend	//提取前后按键信号
always@(posedge clk_500hz or negedge rst_n) beginif(!rst_n) beginkey_tmp1 <= 0;key_tmp2 <= 0;endelse beginkey_tmp1 <= qout;key_tmp2 <= key_tmp1;end	  endassign key_done = key_tmp1 & (~ key_tmp2);	endmodule 

2. 流水灯模块

(1) 流水灯:flashled.v

module flashled (clk,rst,led,sw);input clk,rst;	input sw;	//控制正反转output [7:0] led;				reg   [2:0] cnt ;                               //定义了一个3位的计数器,输出可以作为3-8译码器的输入wire clk1h;                                     //定义一个中间变量,表示分频得到的时钟,用作计数器的触发        //例化module decode38,相当于调用decode38 u1 (                                   .sw(cnt),                       //例化的输入端口连接到cnt,输出端口连接到led  .led(led));//例化分频器模块,产生一个1Hz时钟信号		divide #(.WIDTH(32),.N(12000000)) u2 (         //传递参数.clk(clk),.rst_n(rst),                   //例化的端口信号都连接到定义好的信号.clkout(clk1h));                             //1Hz时钟上升沿触发计数器,循环计数		always @(posedge clk1h or negedge rst)if (!rst)cnt <= 0;elseif(sw == 1'b0)cnt <= cnt +1;	//向下流水,模拟下降elsecnt <= cnt -1;	//向上流水,模拟上升endmodule

(2) 分频器:divide.v

 module divide (clk,rst_n,clkout);input 	clk,rst_n;                       //输入信号,其中clk连接到FPGA的C1脚,频率为12MHzoutput	clkout;                          //输出信号,可以连接到LED观察分频的时钟//parameter是verilog里常数语句parameter	WIDTH	= 3;             //计数器的位数,计数的最大值为 2**WIDTH-1parameter	N	= 5;             //分频系数,请确保 N < 2**WIDTH-1,否则计数会溢出reg 	[WIDTH-1:0]	cnt_p,cnt_n;     //cnt_p为上升沿触发时的计数器,cnt_n为下降沿触发时的计数器reg			clk_p,clk_n;     //clk_p为上升沿触发时分频时钟,clk_n为下降沿触发时分频时钟//上升沿触发时计数器的控制always @ (posedge clk or negedge rst_n )         //posedge和negedge是verilog表示信号上升沿和下降沿//当clk上升沿来临或者rst_n变低的时候执行一次always里的语句beginif(!rst_n)cnt_p<=0;else if (cnt_p==(N-1))cnt_p<=0;else cnt_p<=cnt_p+1;             //计数器一直计数,当计数到N-1的时候清零,这是一个模N的计数器end//上升沿触发的分频时钟输出,如果N为奇数得到的时钟占空比不是50%;如果N为偶数得到的时钟占空比为50%always @ (posedge clk or negedge rst_n)beginif(!rst_n)clk_p<=0;else if (cnt_p<(N>>1))          //N>>1表示右移一位,相当于除以2去掉余数clk_p<=0;else clk_p<=1;               //得到的分频时钟正周期比负周期多一个clk时钟end//下降沿触发时计数器的控制        	always @ (negedge clk or negedge rst_n)beginif(!rst_n)cnt_n<=0;else if (cnt_n==(N-1))cnt_n<=0;else cnt_n<=cnt_n+1;end//下降沿触发的分频时钟输出,和clk_p相差半个时钟always @ (negedge clk)beginif(!rst_n)clk_n<=0;else if (cnt_n<(N>>1))  clk_n<=0;else clk_n<=1;                //得到的分频时钟正周期比负周期多一个clk时钟endassign clkout = (N==1)?clk:(N[0])?(clk_p&clk_n):clk_p;      //条件判断表达式//当N=1时,直接输出clk//当N为偶数也就是N的最低位为0,N(0)=0,输出clk_p//当N为奇数也就是N最低位为1,N(0)=1,输出clk_p&clk_n。正周期多所以是相与
endmodule     

(3) 38译码器:decode38.v

module decode38 (sw,led);input  [2:0] sw;							//开关输入信号,利用了其中3个开关作为3-8译码器的输入output [7:0] led;						//输出信号控制特定LEDreg [7:0] led;                                                  //定义led为reg型变量,在always过程块中只能对reg型变量赋值//always过程块,括号中sw为敏感变量,当sw变化一次执行一次always中所有语句,否则保持不变always @ (sw)begincase(sw)                                                   //case语句,一定要跟default语句3'b000:	led=8'b0111_1111;                         //条件跳转,其中“_”下划线只是为了阅读方便,无实际意义  3'b001:	led=8'b1011_1111;                         //位宽'进制+数值是Verilog里常数的表达方法,进制可以是b、o、d、h(二、八、十、十六进制)3'b010:	led=8'b1101_1111;3'b011:	led=8'b1110_1111;3'b100:	led=8'b1111_0111;3'b101:	led=8'b1111_1011;3'b110:  led=8'b1111_1101;3'b111:	led=8'b1111_1110;default: ;endcaseendendmodule

3. 管脚配置 

        作者使用的板子是“10M02SCM153C8G”核心板,用其他板子的话就换对应的管脚就行。其中sw键是拨码开关,floor_signal和rst键是按键,led[i]是led灯,clk是时钟,segment是数码管。

 4. 可优化

        显然,这是一个很简陋的显示系统。按照最终设想,设计出来的一个项目应该包含完整的电梯操作,包含内外两面显示:1.对于外显示,应该可以预设人所在楼层,让电梯显示逐层变化到指定楼层;2.对于内显示,应该可以设置想去的任何楼层,确定后让电梯显示逐层变化到指定楼层。这个功能其实也不难,添加一个变量用于存储即可,在加个时钟用于自动变化。但是作者手上的板子不具有输入功能(很麻烦,需要一下一下按),加之只是心血来潮帮室友做个期末大作业,所以只完成了基本要求。可能哪一天有兴趣了再来完善。

        可以参考这个:使用Verilog实现FPGA双列电梯控制系统-阿里云开发者社区

后记

        相比于第一次设计篮球比赛计分器,这次设计就要熟练的多,整体设计过程大约1h。之所以这一次开发能这么快,得益于分模块开发的思想。稍加注意即可发现,这一项目中的数码管驱动,按键消抖,分频器和38译码器都是现成的。其中流水灯模块稍加修改了一下,添加的正反装的功能。

        所以说啊,电子这东西,入门难,但是入门之后很多东西都是相通的,稍加举一反三便可达到目的。最后提一嘴题外话,良好的开发习惯真的很造福开发过程,再接再厉。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/220093.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

深度学习记录--随机初始化

权重 权重&#xff0c;指的是变量系数w&#xff0c;决定了变量的变化率 它会改变dw&#xff0c;进而改变下一轮的w(改变更新) 神经网络的权重 对于神经网络(含隐藏层) 由于权重的对称性&#xff0c;我们的隐层的神经单元输出始终不变&#xff0c;出现隐藏神经元的对称性 …

kill编译异常处理

当kill编译时出现如下警告 Build target Target 1 linking... *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESSSEGMENT: ?PR?_LCD_SHOWCHAR?LCD1602 *** WARNING L16: UNCALLED SEGMENT, IGNORED FOR OVERLAY PROCESSSEGMENT: ?PR?_LCD_SHOWSTRING?LCD…

机器学习——自领域适应作业

任务 游戏里面的话有很多跟现实不一样的情况。 想办法让中间的特征更加的接近&#xff0c;让feat A适应feat B&#xff0c;产生相对正常的输出。 在有标签数据和没有数据的上面进行训练&#xff0c;并能预测绘画图像。 数据集 训练5000张总数&#xff0c;每类有500张测试100…

分析若依的文件上传处理逻辑

分析若依的文件上传处理逻辑 注&#xff1a;已经从若依框架完成拆分&#xff0c;此处单独分析一下人家精彩的封装&#xff0c;也来理解一下怎么做一个通用的上传接口&#xff01;如有分析的&#xff0c;理解的不透彻的地方&#xff0c;大家多多包含&#xff0c;欢迎批评指正&am…

每天五分钟计算机视觉:谷歌的Inception模块的计算成本的问题

计算成本 Inception 层还有一个问题,就是计算成本的问题,我们来看一下55 过滤器在该模块中的计算成本。 原始图片为28*28*192经过32个5*5的过滤操作,它的计算成本为: 我们输出28*28*32个数字,对于输出的每个数字来说,你都需要执行 55192 (5*5为卷积核的大小,192为通道…

Apache Flume(4):日志文件监控

1 案例说明 企业中应用程序部署后会将日志写入到文件中&#xff0c;可以使用Flume从各个日志文件将日志收集到日志中心以便于查找和分析。 2 使用Exec Soucre Exec Source Exec Source通过指定命令监控文件的变化&#xff0c;加粗属性为必须设置的。 属性名默认值说明chan…

SQL盲注之python脚本自动化注入

SQL盲注 sql盲注无法使用sql语句注入&#xff0c;需要大量的判断语句或者延时注入。这样手动注入方式比较慢&#xff0c;手动发现注入点后可以使用python编写脚本注入。 手动注入 测试环境 测试环境sql-libs less8中的布尔注入 手动注入语句 ?id1 and length((select dat…

【NI-RIO入门】使用LabVIEW进行数据采集测量

于ni kb摘录 选择合适的编程模式 CompactRIO系统具有至少两个用户可选模式。某些CompactRIO型号具有附加的用户可选模式&#xff0c;可以在实时NI-DAQmx中进行编程。请参考本文以判断您的CompactRIO是否能够使用实时NI-DAQmx。将目标添加到项目后&#xff0c;将提示您选择要使…

学习Java第70天,过滤器Filter简介

过滤器概述 Filter,即过滤器,是JAVAEE技术规范之一,作用目标资源的请求进行过滤的一套技术规范,是Java Web项目中最为实用的技术之一 Filter接口定义了过滤器的开发规范,所有的过滤器都要实现该接口 Filter的工作位置是项目中所有目标资源之前,容器在创建HttpServletRequest和…

JupyterNotebook VS JupyterLab 如果jupyter安装成功,点击jupyterlab即可进入lab环境

简介 JupyterNotebook 是一个款以网页为基础的交互计算环境&#xff0c;可以创建Jupyter的文档&#xff0c;支持多种语言&#xff0c;包括Python, Julia, R等等。一般来说&#xff0c;如果是使用R语言的话&#xff0c;使用Rstudio居多&#xff0c;使用Python的话&#xff0c;使…

神经网络可以计算任何函数的可视化证明

神经网络可以计算任何函数的可视化证明 对于神经网络&#xff0c;一个显著的事实就是它可以计算任何函数。 如下&#xff1a;不管该函数如何&#xff0c;总有神经网络能够对任何可能的输入x&#xff0c;输出值f&#xff08;x&#xff09; 即使函数有很多输入和输出&#xff0…

FC-13A(用于汽车应用的kHz范围晶体单元,低轮廓贴片)

FC-13A晶体非常适合用在汽车导航系统设计中的应用&#xff0c;是一种具有优异的频率性能和AEC-Q200标准认证的汽车工业级高精度晶体,FC-13A是一款尺寸为3.2 1.5 0.9mm&#xff0c;频率范围32.768KHz耐高温晶振&#xff0c;频率温度系数仅为-0.04ppm/℃&#xff0c;并且其老化…

使用动画曲线编辑器打造炫酷的3D可视化ACE

前言 在制作3D可视化看板时&#xff0c;除了精细的模型结构外&#xff0c;炫酷的动画效果也是必不可少的。无论是复杂的还是简单的动画效果&#xff0c;要实现100%的自然平滑都是具有挑战性的工作。这涉及到物理引擎的计算和对动画效果的数学建模分析。一般来说&#xff0c;只…

6.s081操作系统Lab4: trap

文章目录 chapter 4概览4.1 CPU trap流程使用寄存器如果cpu想处理1个trap 4.2 用户态引发的trap4.2.1 uservec4.2.2 usertrap4.2.3 usertrapret和userretusertrapretuserret Lab4Backtrace (moderate)Alarm (hard) chapter 4 概览 trap的场景&#xff1a;系统调用&#xff0c…

如何在jenkins容器中安装python+httprunner+pytest+git+allure(一)

背景&#xff1a; API接口自动化使用python语言实现&#xff0c;利用httprunner框架编写自动化用例场景&#xff08;执行的时候还是依赖pytest),使用jenkins自动构建git上的源代码&#xff0c;并产生allure报告可视化展示API执行结果。 步骤 1.进入jenkins容器 注意使用roo…

【密码学基础】Diffie-Hellman密钥交换协议

DH介绍 Diffie-Hellman密钥协议算法是一种确保共享密钥安全穿越不安全网络的方法。 这个机制的巧妙在于需要安全通信的双方可以用这个方法确定对称密钥&#xff0c;然后可以用这个密钥进行加密和解密。 但是注意&#xff0c;这个密钥交换协议 只能用于密钥的交换&#xff0c;而…

Python PDF转DOCX文档

第三方包&#xff1a;pdf2docx from pdf2docx import Converterdef convert_pdf_to_docx(pdf_path, docx_path):# 创建一个转换器对象converter Converter(pdf_path)# 将PDF转换为DOCXconverter.convert(docx_path, start0, endNone)# 关闭转换器converter.close()# 调用函数…

跨域的解决方式(java后端)

文章目录 一、跨域介绍1、什么是跨域2、为什么会产生跨域3、禁止跨域的原因 二、简单请求和非简单请求1、简单请求1.1、什么时简单请求1.2、简单请求基础流程 2、非简单请求2.1、预检请求2.2、预检请求的回应2.3、浏览器的正常请求和回应 3、自定义跨域过滤器 三、解决方式1、C…

【C++】模板

这篇博客来说一下模板&#xff0c;模板有函数模板和类模板&#xff0c;先来看函数模板&#xff0c;你一听模板这个词就是提前给好一个模具&#xff0c;等我们用的时候在去套用 比如说&#xff1a;我们在实际应用中常常用到swap这个交换函数&#xff0c;但是呢&#xff0c;我们要…