systemverilog/verilog文件操作

1、Verilog文件操作

        Verilog具有系统任务和功能,可以打开文件、将值输出到文件、从文件中读取值并加载到其他变量和关闭文件。

1.1 、Verilog文件操作

1.1.1、打开和关闭文件

module tb;
// 声明一个变量存储 file handler
integer fd;
initial begin
// 以写权限打开一个文件名为 "my_file.txt" 的新文件,并将文件柄指针存储在变量"fd"中fd = $fopen("my_file.txt", "w");
// 关闭指向 "fd"的文件柄$fclose(fd);
end
endmodule

文件操作选项:

1.1.2、写文件

        每个系统函数都以基数十进制打印值。它们还有其他三个版本,可以以二进制、八进制和十六进制打印。

integer handle1;
initial begin
handel1=$fopen("picture_out.txt","w");
forever @(posedge clk) beginif(PicInHEnd &PicInVEnd) begin$fclose(handle1);$finish;end
end
end
always@(posedge clk) beginif(  PicOutVld  ) beginif(PicInHEnd)$fwrite(handle1,"%h",PicInData,"\n");else$fwrite(handle1,"%h",PicInData,"\n");end
end

使用方法如下:
 

module tb;
integer fd;
integer i;
reg [7:0] my_var;
initial begin// Create a new filefd = $fopen("my_file.txt", "w");my_var = 0;$fdisplay(fd, "Value displayed with $fdisplay");#10 my_var = 8'h1A;$fdisplay(fd, my_var); // Displays in decimal$fdisplayb(fd, my_var); // Displays in binary$fdisplayo(fd, my_var); // Displays in octal$fdisplayh(fd, my_var); // Displays in hex// $fwrite does not print the newline char '' automatically at// the end of each line; So we can predict all the values printed// below to appear on the same line$fdisplay(fd, "Value displayed with $fwrite");#10 my_var = 8'h2B;$fwrite(fd, my_var);$fwriteb(fd, my_var);$fwriteo(fd, my_var);$fwriteh(fd, my_var);// Jump to new line with '', and print with strobe which takes// the final value of the variable after non-blocking assignments// are done$fdisplay(fd, "Value displayed with $fstrobe");#10 my_var <= 8'h3C;$fstrobe(fd, my_var);$fstrobeb(fd, my_var);$fstrobeo(fd, my_var);$fstrobeh(fd, my_var);#10 $fdisplay(fd, "Value displayed with $fmonitor");$fmonitor(fd, my_var);for(i = 0; i < 5; i= i+1) begin#5 my_var <= i;end#10 $fclose(fd);
end
endmodule

1.1.3、读取文件

1.1.3.1、文件读取:$fscanf和$readmemh

其中$readmemh的作用是一次性将文件中的数据读取到某个数组中,然后一次从数组中读取1个数组进行处理,而$fscanf的作用是从文件中读取一行数据。

        在verilog中,$fscanf函数用于从文件中读取格式化的数据,他的语法如下:

$fscanf(file ,format ,variable);

其中:
file:表示一个整数变量,表示要读取的文件句柄
format:是一个字符串,指定读取数据的格
variable:是一个变量,用于存储从文件中读取的数据
$fscanf函数将根据指定的文件中读取数据,并将其存储在变下是一些常见的格式说明符
%h:十六进制表示的整数
%d:十进制表示的整数
%b:二进制表示的整数
%f:浮点数。
以下演示如何使用$ fscanf函数从文件中读取十六进制
 

module file_reader;reg [7:0] data;reg clk;integer file;initial begin// 打开文件file = $fopen("input.txt", "r");   if (file == 0) begin$display("无法打开文件");$finish;end// 模拟时钟clk = 0;forever #5 clk = ~clk;endalways @(posedge clk) begin// 读取文件中的数据if (!$feof(file)) begin$fscanf(file, "%h", data);$display("读取数据:%h", data);endelse begin$display("文件读取完毕");$fclose(file);$finish;endendendmodule

        在此例中, Verilog模块 file reader使用$ fopen函数打开名为" input tx'的文件,并按照每个时钟周期读取文件的数据。使用 sfscanf函数从文件中读取十六进制数,并使用 display函数打印读取到的数据。每当读取到一个数据时,它将使用 Display函数打印出来。当文件读取完毕时,它将关闭文件并结束仿真。请确保在使用此代码之前,将文件" input. txt"放在与 Verilog源代码相同的目录中,并在文件中包含要读取的数据。

1.1.3.2、读取文件
在 Verilog 中,$readmemh 是一个系统任务,用于从文件中读取十六进制数据并将其加载到内存中。它的语法如下:$readmemh(filename, memory);
其中:filename 是一个字符串,表示要读取的文件名。
memory 是一个内存数组或寄存器数组,用于存储从文件中读取的数据。
$readmemh 任务会打开指定的文件,并按行读取文件中的十六进制数据。它会将数据加载到 memory 数组中,每行数据对应数组的一个元素。以下是一个示例,演示如何使用 $readmemh 任务从文件中读取十六进制数据:module file_reader;reg [7:0] memory [0:9]; // 定义一个包含10个元素的内存数组initial begin$readmemh("input.txt", memory); // 从文件中读取数据并加载到内存数组中// 打印内存数组中的数据for (integer i = 0; i < 10; i = i + 1) begin$display("memory[%0d] = %h", i, memory[i]);end$finish;endendmodule

在此示例中,我们通过$ readmemh任务从文件"input"中读取数据,并将其加载到内存数组 memory中。然后,我们使用循环打印出 memory数组中的数据。" input. txt"需要放在与 Verilog源代码相同的目录中,并在文件中包含要读取的十六进制数据(每行一个)

3.1.1.3、

        使用 Verilog的fget函数输入文件读取功能,逐行读取文件内容并将其存储在寄存器或内存。

3.1.1.4、读取一行:

        系统函数$ fgets从fd指定的文件中将字符读入变量str直到st被填满,或者读取换行符并传输到st,或者遇到EOF条件。如果在读取过程中发生错误,则返回代码零。否则返回读取的字符数。以下是—个示例代码,演示如何逐行读取文件并将其存储在寄存器。

module file_reader;reg [7:0] data [0:9]; // 定义一个包含10个元素的寄存器数组reg [7:0] temp;integer file;integer line_num;initial begin// 打开文件file = $fopen("input.txt", "r");if (file == 0) begin$display("无法打开文件");$finish;endline_num = 0;// 逐行读取文件while (!$feof(file)) begin$fgets(temp, file);data[line_num] = temp; // 将读取到的数据存储在寄存器中line_num = line_num + 1;end$fclose(file);// 打印寄存器中的数据for (integer i = 0; i < 10; i = i + 1) begin$display("data[%0d] = %h", i, data[i]);end$finish;end
endmodule

        在此示例中,我们定义了一个包含10个元素的寄存器数组data,用于存储从文件中读取的每一行数据。使用$ fgets函数逐行读取文件,并将读取到的数据存储在temp变量中。然后,将temp的值存储在data数组的相应索引位置上。最后,我们使用循环打印出data数组中的数据请确保在使用此代码之前,将文件"inpυt.txt"放在与 Verilog源代码相同的目录中,并在文件中包含要读取的数据。

1.1.3.1、检测EOF

        当找到EOF时,系统函数$feof返回一个非零值,否则对于给定的文件描述符作为参数返回零。

module tb;
reg[8*45:1] str;
integer fd;
initial beginfd = $fopen("my_file.txt", "r");// Keep reading lines until EOF is foundwhile (! $feof(fd)) begin// Get current line into the variable 'str'$fgets(str, fd);// Display contents of the variable$display("%0s", str);end$fclose(fd);
end
endmodule
1.1.3.2 、display的多个参数

        当给$display多个变量是按给定的顺序一个接一个地打印所有变量,没有空格。

module tb;reg [3:0] a, b, c, d;reg [8*30:0] str;integer fd;initial begina = 4'ha;b = 4'hb;c = 4'hc;d = 4'hd;fd = $fopen("my_file.txt", "w");$fdisplay(fd, a, b, c, d);$fclose(fd);end
endmodule

1.1.4将数据格式化为字符串

        系统函数中的第一个参数$sformat是放置结果的变量名。第二个参数是 Eformat string,它告诉如何将以下参数格式化为字符串。

module tb;
reg [8*19:0] str;
reg [3:0] a, b;
initial begin
a = 4'hA;
b = 4'hB;
// Format 'a' and 'b' into a string given
// by the format, and store into 'str' variable
$sformat(str, "a=%0d b=0x%0h", a, b);
$display("%0s", str);
end
endmodule

2、systemverilog文件操作

2.1.1、打开关闭文件

        $fopen系统函数打开文件进行读取或者写入,该任务将返回一个成为文件描述符的32位整数句柄,这个句柄应该用于读取和写入该文件,
直到它被关闭。
        $fclose系统任务用于关闭文件,一旦文件描述符关闭,就不允许进一步读取或写入文件描述符。
        例如:在下面的代码中,声明一个名为fd的int变量来保存文件描述符。fd最初为零,并从$fopen()中获取有效值,可以检查文件是否成功打开,该文件最终在执行$fclose()时关闭。
 

module tb;initial begin// 1. Declare an integer variable to hold the file descriptorint fd;// 2. Open a file called "note.txt" in the current folder with a "read permission// If the file does not exist, then fd will be zerofd = $fopen ("./note.txt", "r");if (fd) $display("File was opened successfully : %0d", fd);else $display("File was NOT opened successfully : %0d", fd);// 2. Open a file called "note.txt" in the current folder with a "write permission// "fd" now points to the same file, but in write modefd = $fopen ("./note.txt", "w");if (fd) $display("File was opened successfully : %0d", fd);else $display("File was NOT opened successfully : %0d", fd);// 3. Close the file descriptor$fclose(fd);end
endmodule

1.1.2、以读取和附加模式打开

        默认情况下,文件以写入w模式打开。通过提正确的模式类型,也可以在其他模式下打开文件。下表显示可以打开文件的所有不同模式例子:在下面的代码中,我们将看到如何使用上表中描述的不同文件访问模式。

module tb;initial beginint fd_w, fd_r, fd_a, fd_wp, fd_rp, fd_ap;fd_w = $fopen ("./todo.txt", "w"); // Open a new file in write mode afd_r = $fopen ("./todo.txt", "r"); // Open in read modefd_a = $fopen ("./todo.txt", "a"); // Open in append modeif (fd_w) $display("File was opened successfully : %0d", fd_w);else $display("File was NOT opened successfully : %0d", fd_w)if (fd_r) $display("File was opened successfully : %0d", fd_r);else $display("File was NOT opened successfully : %0d", fd_r)if (fd_a) $display("File was opened successfully : %0d", fd_a);else $display("File was NOT opened successfully : %0d", fd_a)// Close the file descriptor$fclose(fd_w);$fclose(fd_r);$fclose(fd_a);end
endmodule

2.1.3、读取和写入文件

        文件应以写入w模式或附加a模式打开。系统任务如 $fdisplay和 $fwrite0可用于将格式化字符串写入文件这些任务的第一个参数是文件描述符句柄,第二个参数是要存储的数据。要读取文件,必须以读取r模式或读写r+模式打开它。$ fgets()系统任务,它将从文件中读取一行。如果这个任务被调用10次,那么它将读取10。

        例子:下面显示的代码演示了如何使用 $display。然后以读取模式打开文件,并使用$ fgets()本地变量将内容读然后使用标准显示任务 $display打印出来。

module tb;int fd; // Variable for file descriptor handlestring line; // String value read from the fileinitial begin// 1. Lets first open a new file and write some contents into itfd = $fopen ("trial", "w");// Write each index in the for loop to the file using $fdisplay// File handle should be the first argumentfor (int i = 0; i < 5; i++) begin$fdisplay (fd, "Iteration = %0d", i);end// Close this file handle$fclose(fd);// 2. Let us now read back the data we wrote in the previous stepfd = $fopen ("trial", "r");// Use $fgets to read a single line into variable "line"$fgets(line, fd);$display ("Line read : %s", line);// Get the next line and display$fgets(line, fd);$display ("Line read : %s", line);// Close this file handle$fclose(fd);end
endmodule

2.1.4、阅读直到文件结束

        在前面的示例中,$fgets()两次使用系统任务从文件中读取两行。 Systemverilog有另一个任务调用$feof(),当到达文件未尾时返回tue。这可以在循环中使用,如下所示以读取文件的全部内容。例子。
 

module tb;int fd; // Variable for file descriptor handlestring line; // String value read from the fileinitial begin// 1. Lets first open a new file and write some contents into itfd = $fopen ("trial", "w");for (int i = 0; i < 5; i++) begin$fdisplay (fd, "Iteration = %0d", i);end$fclose(fd);// 2. Let us now read back the data we wrote in the previous stepfd = $fopen ("trial", "r");while (!$feof(fd)) begin$fgets(line, fd);$display ("Line: %s", line);end// Close this file handle$fclose(fd);end
endmodule

2.2.5、解析值的行

        System Verilog有另一个名为的系统任务 Sfscanf(),它允许我们扫描并获取某些值。
 

module tb;int fd; // Variable for file descriptor handleint idx;string str;initial begin// 1. Lets first open a new file and write some contents into itfd = $fopen ("trial", "w");for (int i = 0; i < 5; i++)$fdisplay (fd, "Iteration = %0d", i);$fclose(fd);// 2. Let us now read back the data we wrote in the previous stepfd = $fopen ("trial", "r");// fscanf returns the number of matcheswhile ($fscanf (fd, "%s = %0d", str, idx) == 2) begin$display ("Line: %s = %0d", str, idx);end// Close this file handle$fclose(fd);end
endmodule

2.1.6、多通道文件描述符

        mcd是一个32位压缩数组值,其中设置打开哪个文件。mcs的LSB总是指标准输出。输向到使用多通道描述符打开的两个或多个文件,方法是将它们的mcd按位或运算在写入结果值。

3、范围解析运算符::

        范围解析运算符∷用于引用类范围内的标识符。范围解析运算符∷的左侧应该是类类型名称、包名称、覆盖组类型名称、覆盖点或交叉名称、 typedef名称。运算符的右侧应该是_个标识符,如变量或方法名称。

3.1、使用范围解析运算符原因

        类和其他作用域可以具有相同的标识符名称,并且如果在未指定作用域的情况下被引用,则可能会产生命名空间冲突。范围解析运算符∷唯一标识给定类的成员或参数。它们还用于从类外部访问类的静态变量和方法、参数和局部参数。它还允许从子类中访问基类的公共和受保护成员。

3.1.1、定义外部函数

class ABC;
int data;
extern virtual function void display();
endclass
// Definition of an external function using scope
// resolution operator
function void ABC::display();
$display("data = 0x%0h", data);
endfunction
module tb;
initial begin
ABC abc = new();
abc.data = 32'hface_cafe;
abc.display();
end
endmodule

3.1.2、访问静态方法和函数

class ABC;static int data;static function void display();$display("data = 0x%0h", data);endfunctionendclassmodule tb;
initial beginABC a1, a2;// Assign to static variable before creating// class objects, and display using class_type and// scope resolution operator
ABC::data = 32'hface_cafe;
ABC::display();a1 = new();a2 = new();$display ("a1.data=0x%0h a2.data=0x%0h", a1.data, a2.data);
end
endmodule

3.1.3、使用包

package my_pkg;
typedef enum bit {FALSE, TRUE} e_bool;
endpackage
module tb;bit val;initial begin// Refer to types that have been declared// in a package. Note that package has to// be included in compilation but not// necessarily "imported"val = my_pkg::TRUE;$display("val = 0x%0h", val);end
endmodule

3.1.4、避免命名空间冲突

package my_pkg;
typedef enum bit {FALSE, TRUE} e_bool;
endpackage
import my_pkg::*;
module tb;typedef enum bit {TRUE, FALSE} e_bool;initial begine_bool val;
// Be explicit and say that TRUE from my_pkg// should be assigned to valval = my_pkg::TRUE;$display("val = 0x%0h", val);// TRUE from current scope will be assigned to// valval = TRUE;$display("val = 0x%0h", val);end
endmodule

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/242286.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

互联网加竞赛 基于机器视觉的手势检测和识别算法

0 前言 &#x1f525; 优质竞赛项目系列&#xff0c;今天要分享的是 基于深度学习的手势检测与识别算法 该项目较为新颖&#xff0c;适合作为竞赛课题方向&#xff0c;学长非常推荐&#xff01; &#x1f9ff; 更多资料, 项目分享&#xff1a; https://gitee.com/dancheng…

【react】创建react项目+项目结构

使用create-react-app快速搭建开发环境 create-react-app是一个快速创建React开发环境的工具&#xff0c;底层由Webpack构建&#xff0c;封装了配置细节 npx create-react-app react_hm执行命令后开始创建 创建好执行cd react_hm npm start 当看到webpack compiled successfu…

VMware workstation安装FreeBSD14.0虚拟机并配置网络

VMware workstation安装FreeBSD14.0虚拟机并配置网络 FreeBSD是类UNIX操作系统&#xff0c;FreeBSD带有多个软件包&#xff0c;并覆盖了广阔的应用领域&#xff0c;且都是免费和易于安装的。该文档适用于在VMware workstation平台安装FreeBSD14.0虚拟机。 1.安装准备 1.1安装…

【c++笔记】用c++解决一系列质数问题!

质数是c语言和c中比较常见的数学问题&#xff0c;本篇文章将带你走进有关质数的一系列基础问题&#xff0c;其中包含常见的思路总结&#xff0c;本篇文章过后&#xff0c;将会持续更新c算法系列&#xff0c;感兴趣的话麻烦点个关注吧&#xff01; 希望能给您带来帮助&#xff…

openjdk源码了解

openjdk给出debug配置选项&#xff0c;common/autoconf/jdk-options.m4 AC_DEFUN_ONCE([JDKOPT_SETUP_DEBUG_LEVEL], [################################################################################# Set the debug level# release: no debug information, all opti…

Python项目——计算器(PySide6+Pyinstaller)

1、介绍 使用python编写一个计算器&#xff0c;可以实现基本的运算。【注】该项目最终还有一些细小的bug没有完善&#xff0c;例如符号可以一直输入。 2、实现 使用pyCharm创建一个新的项目。 2.1、设计UI 使用Qt designer设计一个UI界面&#xff0c;保存ui文件&#xff0…

从新手到高手:AI绘画实战中的Midjourney

&#x1f482; 个人网站:【 海拥】【神级代码资源网站】【办公神器】&#x1f91f; 基于Web端打造的&#xff1a;&#x1f449;轻量化工具创作平台&#x1f485; 想寻找共同学习交流的小伙伴&#xff0c;请点击【全栈技术交流群】 随着人工智能&#xff08;AI&#xff09;技术的…

考研C语言刷编程题篇之分支循环结构基础篇(一)

目录 第一题 第二题 方法一&#xff1a;要循环两次&#xff0c;一次求阶乘&#xff0c;一次求和。 注意&#xff1a;在求和时&#xff0c;如果不将sum每次求和的初始值置为1&#xff0c;那么求和就会重复。 方法二&#xff1a; 第三题 方法一&#xff1a;用数组遍历的思想…

C语言第三弹---数据类型和变量

✨个人主页&#xff1a; 熬夜学编程的小林 &#x1f497;系列专栏&#xff1a; 【C语言详解】 【数据结构详解】 数据类型和变量 1、数据类型介绍1.1、整型1.2、浮点型1.3、字符型1.4、布尔类型1.5、各种数据类型的长度1.5.1、sizeof操作符1.5.2、数据类型的长度1.5.3、sizeo…

K8S--部署Nacos

原文网址&#xff1a;K8S--部署Nacos-CSDN博客 简介 本文介绍K8S部署Nacos的方法。Nacos版本是&#xff1a;2.2.3。 部署方案 本文为了简单&#xff0c;使用此部署方式&#xff1a;使用本地pvconfigmap&#xff0c;以embedded模式部署单机nacos。以nodePort方式暴露端口。 …

SpringSecurity+JWT前后端分离架构登录认证

目录 1. 数据库设计 2. 代码设计 登录认证过滤器 认证成功处理器AuthenticationSuccessHandler 认证失败处理器AuthenticationFailureHandler AuthenticationEntryPoint配置 AccessDeniedHandler配置 UserDetailsService配置 Token校验过滤器 登录认证过滤器接口配置…

Kafka常见指令及监控程序介绍

kafka在流数据、IO削峰上非常有用&#xff0c;以下对于这款程序&#xff0c;做一些常见指令介绍。 下文使用–bootstrap-server 10.0.0.102:9092,10.0.0.103:9092,10.0.0.104:9092 需自行填写各自对应的集群IP和kafka的端口。 该写法 等同 –bootstrap-server localhost:9092 …

ctfshow-SQL注入(web214-web220)

时间盲注 &#xff08;最贴合实际的注入&#xff09; web214 什么都不存在 使用bp进行抓包看看有没有注入点 在原始页面刷新 抓包发现修改debug为1是返回结果是一个sql的查询语句 id可能存在注入点 发现存在时间注入 使用web193脚本进行修改 python盲注脚本 import requests …

django后台进行加密手机号字段,加密存储,解密显示

需求: 1 &#xff1a;员工在填写用户的手机号时&#xff0c;直接填写&#xff0c;在django后台中输入 2&#xff1a;当员工在后台确认要存储到数据库时&#xff0c;后台将会把手机号进行加密存储&#xff0c;当数据库被黑之后&#xff0c;手机号字段为加密字符 3&#xff1a;员…

RT-Thread Studio学习(十七)虚拟串口

RT-Thread Studio学习&#xff08;十七&#xff09;虚拟串口 一、简介二、新建RT-Thread项目并使用外部时钟三、启用USB设备功能四、测试 一、简介 本文将基于STM32F407VET芯片介绍如何在RT-Thread Studio开发环境下实现USB虚拟串口。 硬件及开发环境如下&#xff1a; OS WI…

C++入门学习(一)写一个helloworld

1、头文件 #include <iostream> using namespace std; 任何程序都需要这两句的&#xff0c;写上就好。 2、主文件 int main() {cout<<"Hello World!"<<endl;return 0; } 由于是int型数据&#xff0c;所以要返回一个值&#xff0c;即return0。…

Leetcode 2788. 按分隔符拆分字符串

我们可以先自己模拟一下分隔字符串的过程。如果只是简单的&#xff0c;遇到分隔符&#xff0c;将分隔符前后的子串加入结果的List&#xff0c;那么很显然并没有考虑到一个String中有多个字符串的情况。一种比较容易想到的方法是&#xff1a; 先对List中每个字符串遍历&#xf…

华为原生 HarmonyOS NEXT 鸿蒙操作系统星河版 发布!不依赖 Linux 内核

华为原生 HarmonyOS NEXT 鸿蒙操作系统星河版 发布&#xff01;不依赖 Linux 内核 发布会上&#xff0c;余承东宣布&#xff0c;HarmonyOS NEXT鸿蒙星河版面向开发者开放申请。 申请链接 鸿蒙星河版将实现原生精致、原生易用、原生流畅、原生安全、原生智能、原生互联6大极致原…

Docker 部署考核

Docker安装 安装必要的系统工具 yum install -y yum-utils device-mapper-persistent-data lvm2 添加docker-ce安装源&#xff1a; yum-config-manager --add-repo https://download.docker.com/linux/centos/docker-ce.repo 配置阿里云Docker Yum源: yum-config-manager --ad…

IDEA的database使用

一、数据据库 在使用database之前&#xff0c;首先你的电脑要安装好了数据库并且启动。 MySQL卸载手册 链接&#xff1a;https://pan.baidu.com/doc/share/AVXW5SG6T76puBOWnPegmw-602323264797863 提取码&#xff1a;hlgf MySQL安装图解 链接&#xff1a;https://pan.baidu.…