51单片机嵌入式开发:17、STC89C52的嵌入式 遥控器 控制步进电机 转速 和 转向 操作并 printf打印信息

51单片机嵌入式开发


STC89C52的嵌入式 遥控器 控制步进电机 转速 和 转向 操作并 printf打印信息

  • 51单片机嵌入式开发
  • STC89C52的嵌入式 遥控器 控制步进电机 转速 和 转向 操作并 printf打印信息
  • 1 概述
  • 2 硬件电路
    • 2.1 遥控器
    • 2.2 红外接收器电路
    • 2.3 STC89C52单片机电路
    • 2.4 数码管显示电路
    • 2.5 串口打印电路
    • 2.6 步进电机电路
  • 3 工程代码实现
    • 3.1 红外接收程序
    • 3.2 定时器程序
    • 3.3 数码管显示程序
    • 3.4 外部中断程序
    • 3.5 串口打印程序
    • 3.6 步进电机程序
    • 3.7 演示效果
  • 4 设计总结


STC89C52的嵌入式 遥控器 控制步进电机 转速 和 转向 操作并 printf打印信息

在这里插入图片描述

1 概述

基于STC89C52单片机控制步进电机并通过遥控器控制转速和转向,同时使用printf打印信息是一个涉及到多个方面的复杂项目。下面是一个简单的设计思路:

(1) 在C51单片机上,连接HX1838红外解码器、数码管和LED。将HX1838的信号引脚连接到C51单片机的外部中断引脚(例如INT0),数码管的CLK引脚连接到C51单片机的某个IO口引脚,数码管的DIO引脚连接到C51单片机的另一个IO口引脚,LED连接到C51单片机的某个IO口引脚。
(2) 在C51程序中,定义并初始化所需的IO口和外部中断。
(3) 编写中断服务函数以处理红外解码。当外部中断触发时,读取HX1838接收到的红外信号并解码。
(4) 根据解码结果,使用适当的算法将其转换为数码管的显示数据。
(5) 将数码管的显示数据发送到数码管的CLK和DIO引脚,以控制数码管显示解码结果。
(6) 根据解码结果的状态,设置LED引脚状态以控制LED的显示。
(7) 通过循环等待的方式持续接收和处理红外信号,并更新数码管和LED的显示。
(8) 通过循环等待的方式,持续接收和处理红外信号,并更新数码管和LED的显示。
(9) 根据不同的指令信息控制步进电机的转速和转向。

2 硬件电路

2.1 遥控器

外部遥控器的编码见下图,我们用0x44、0x40、0x07、0x15这四个按键控制步进电机的转速和转向。
在这里插入图片描述

2.2 红外接收器电路

红外接收器电路见下图:

在这里插入图片描述

2.3 STC89C52单片机电路

单片机接口电路见下图:P10~P13接步进电机。

在这里插入图片描述

2.4 数码管显示电路

数码管显示电路接口电路见下图,在数码管0和1进行显示16进制的红外线接收码:

在这里插入图片描述

2.5 串口打印电路

串口打印电路接口电路见下图(其实用下载电路也能实现串口的通讯):

在这里插入图片描述

2.6 步进电机电路

步进电机电路如下:
将P1端口跳线接到左边位置处,ABCD对应位置,将步进电机的排母接到右边位置,红色引线为VCC。
在这里插入图片描述

3 工程代码实现

工程、主程序及includes.h如下:

//main.c文件

#include "includes.h"///******************************************************************/
///*                    微秒延时函数  //10us                         */
///******************************************************************/
//void delay_us(unsigned int us)//delay us
//{
//	while(us--)
//	{
//	}
//}///******************************************************************/
///*                    微秒延时函数                                */
///******************************************************************/
//void delay_ms(unsigned int Ms)//delay us
//{
//	while(Ms--)
//	{
//		delay_us(100);
//	}
//}/*------------------------------------------------延时子程序
------------------------------------------------*/
void delay(unsigned int cnt) 
{while(--cnt);
}/*------------------------------------------------主函数
------------------------------------------------*/
void main (void)
{bit flag = 0;sys_timer_init();sys_exit_init();sys_uart_init();//	sys_ledtube_on2();
//	
//	sys_ledtube_on1();delay(10);//首先定义处于什么状态,//tx1838_type = 1;printf("Hello world~~");byj48_value = 300;while (1){
//		sys_tx1838_test();if(tx1838_flag){tx1838_flag = 0;hx1838_transform(tx1838_value);}sys_28byj48_func(byj48_type,byj48_value);if(flag){flag = 0;sys_keynum_ledon(tx1838_value>>4,0);}else{flag = 1;sys_keynum_ledon(tx1838_value&0xF,1);}}
}

//includes.h文件

#ifndef __INCLUDES_H__
#define __INCLUDES_H__//#include<reg52.h> #include<intrins.h> //汇编指令_nop_
#include<stdio.h> 	//标准输入输出//_nop_(); 产生一条NOP指令
//作用:对于延时很短的,要求在us级的,采用“_nop_”函数,这个函数相当汇编NOP指令,延时几微秒。
//NOP指令为单周期指令,可由晶振频率算出延时时间。//8051 为每个机器周期 12 时钟
//对于12M晶振,延时1uS。
//11.0592M晶振,延时1.0851uS。//对于延时比较长的,要求在大于10us,采用C51中的循环语句来实现。//包含头文件,一般情况不需要改动,头文件包含特殊功能寄存器的定义
#include "STC89C5xRC_RDP.h"//应用层头文件
//#include "c51_gpio.h"
#include "c51_ledtube.h"
//#include "c51_key.h"
#include "c51_timer.h"
#include "c51_exit.h"
//#include "c51_lcd1602.h"
//#include "c51_iic.h"
#include "c51_tx1838.h"
#include "c51_uart.h"
#include "c51_28byj48.h"extern void delay(unsigned int cnt);
//extern void delay_us(unsigned int us);//delay us;
//extern void delay_ms(unsigned int Ms);//delay Ms;#endif

3.1 红外接收程序

实现红外nec解码和根据码位不同,在printf打印不同的字符串。

//c51_tx1838.c文件

#include "includes.h"unsigned char tx1838_cnt = 0;
unsigned char tx1838_type = 0;
unsigned char tx1838_flag = 0;
unsigned char tx1838_repeat_flag = 0;unsigned char tx1838_value = 0;
unsigned char tx1838_data[4] = {0};
unsigned int  tx1838_time = 0;//void sys_tx1838_test(void)
//{
//	unsigned int time = 0;
//	if(!NEC)
//	{
//		while(!NEC);		//等待低电平结束
//		TH0 = 0;
//		TL0 = 0;
//		delay_us(1);
//		if(tx1838_type==4)
//		{
//			tx1838_type =1;
//		}
//		else
//		{
//			while(NEC)			//等待数据位计时
//			{
//				if(TH0>30)
//				{
//					tx1838_type =1;
//					break;
//				}
//			}
//		}
//		time =(TH0<<8)+TL0; //取得脉冲宽度
//	
//		switch(tx1838_type)
//		{
//			case 1:
//			{
//				if(time>3000 && time<7000) //接收到数据
//				{
//					tx1838_type = 2;
//					
//					tx1838_cnt = 0;		//接收位数量清0
//					tx1838_data[0] = 0;
//					tx1838_data[1] = 0;
//					tx1838_data[2] = 0;
//					tx1838_data[3] = 0;
//				}
//				else if(time>2000 && time<3000)//接收到重复码
//				{
//					tx1838_type = 3;
//				}
//				else
//				{
//					tx1838_type = 1;
//				}
//				break;
//			}
//			case 2:
//			{
//				tx1838_cnt ++ ;
//				if(time>168 && time<800) //接收到数据位为0的时间长度
//				{//				}
//				else 
//				{
//					if(time>1100 && time<1800) //接收到数据位为1的时间长度
//					{
//						if(tx1838_cnt<=8)
//						{
//							tx1838_data[0] |= (1<<(tx1838_cnt-1));
//						}
//						else if(tx1838_cnt<=16)
//						{
//							tx1838_data[1] |= (1<<(tx1838_cnt-9));
//						}
//						else if(tx1838_cnt<=24)
//						{
//							tx1838_data[2] |= (1<<(tx1838_cnt-17));
//						}
//						else if(tx1838_cnt<=32)
//						{
//							tx1838_data[3] |= (1<<(tx1838_cnt-25));
//						}
//						else
//						{
//							tx1838_type = 1;
//							
//							tx1838_cnt = 0;		//接收位数量清0
//							tx1838_data[0] = 0;
//							tx1838_data[1] = 0;
//							tx1838_data[2] = 0;
//							tx1838_data[3] = 0;
//						}
//					}
//					else //重新解码 //接收到引导码或者结束码,或者接收到的是重复码,本章节不进行演示
//					{
//						tx1838_type = 1;
//						
//						tx1838_cnt = 0;		//接收位数量清0
//						tx1838_data[0] = 0;
//						tx1838_data[1] = 0;
//						tx1838_data[2] = 0;
//						tx1838_data[3] = 0;
//					}
//				}
//				
//				if(tx1838_cnt>=32)
//				{
//					tx1838_type = 4;
//					
//					switch(tx1838_data[3])//判断数码值
//					{
//						case 255:sys_keynum_ledon(0);break;//0 显示相应的按键值
//						case 254:sys_keynum_ledon(1);break;//1
//						case 253:sys_keynum_ledon(2);break;//2
//						case 252:sys_keynum_ledon(3);break;//3
//						case 251:sys_keynum_ledon(4);break;//4
//						case 250:sys_keynum_ledon(5);break;//5
//						case 249:sys_keynum_ledon(6);break;//6
//						case 248:sys_keynum_ledon(7);break;//7
//						case 247:sys_keynum_ledon(8);break;//8
//						case 246:sys_keynum_ledon(9);break;//9 显示相应的按键值//					}
//				}
//				break;
//			}
//			case 3: //重复码
//			{
//				tx1838_type = 1;
//				sys_keynum_ledon(11);
//				break;
//			}
//			case 4: //结束码
//			{
//				tx1838_type = 1;
//				
//				tx1838_cnt = 0;		//接收位数量清0
//				
//				break;
//			}
//			case 5:
//			{
//				break;
//			}
//			default:
//				tx1838_type = 1;
//				break;
//		}
//			
//	}
//}void sys_nec_test(void)
{if(tx1838_type==0)				//状态0,空闲状态{timer0_set(0);				//定时计数器清0timer0_run(1);				//定时器启动tx1838_type=1;				//置状态为1}else if(tx1838_type==1)			//状态1,等待Start信号或Repeat信号{tx1838_time=timer0_get();	//获取上一次中断到此次中断的时间timer0_set(0);				//定时计数器清0//如果计时为13.5ms,则接收到了Start信号(判定值在12MHz晶振下为13500,在11.0592MHz晶振下为12442)if(tx1838_time>12442-500 && tx1838_time<12442+500){tx1838_type=2;			//置状态为2}//如果计时为11.25ms,则接收到了repeat信号(判定值在12MHz晶振下为11250,在11.0592MHz晶振下为10368)else if(tx1838_time>10368-500 && tx1838_time<10368+500){tx1838_repeat_flag=1;	//置收到连发帧标志位为1timer0_run(0);		//定时器停止tx1838_type=0;		//置状态为0}else					//接收出错{tx1838_type=1;			//置状态为1}}else if(tx1838_type==2)		//状态2,接收数据{tx1838_time=timer0_get();	//获取上一次中断到此次中断的时间timer0_set(0);	//定时计数器清0//如果计时为1120us,则接收到了数据0(判定值在12MHz晶振下为1120,在11.0592MHz晶振下为1032)if(tx1838_time>1032-500 && tx1838_time<1032+500){tx1838_data[tx1838_cnt/8]&=~(0x01<<(tx1838_cnt%8));	//数据对应位清0tx1838_cnt++;			//数据位置指针自增}//如果计时为2250us,则接收到了数据1(判定值在12MHz晶振下为2250,在11.0592MHz晶振下为2074)else if(tx1838_time>2074-500 && tx1838_time<2074+500){tx1838_data[tx1838_cnt/8]|=(0x01<<(tx1838_cnt%8));	//数据对应位置1tx1838_cnt++;			//数据位置指针自增}else					//接收出错{tx1838_cnt=0;			//数据位置指针清0tx1838_type=1;			//置状态为1}if(tx1838_cnt>=32)		//如果接收到了32位数据{tx1838_cnt=0;			//数据位置指针清0if((tx1838_data[0]==~tx1838_data[1]) && (tx1838_data[2]==~tx1838_data[3]))	//数据验证{//地址		:tx1838_data[0]//地址反码	:tx1838_data[1]//数据		:tx1838_data[2]//数据反码	:tx1838_data[3]tx1838_value = tx1838_data[2];//P1 = tx1838_value;tx1838_flag=1;	//红外遥控获取收到数据帧标志位}timer0_run(0);		//定时器停止tx1838_type=0;			//置状态为0}}
}void hx1838_transform(unsigned char cmd)
{/*#define TX1838_CH0			0x45
#define TX1838_CH1			0x46
#define TX1838_CH2			0x47
#define TX1838_VOL1			0x44
#define TX1838_VOL2			0x40
#define TX1838_VOL3			0x43
#define TX1838_EQ1			0x07
#define TX1838_EQ2			0x15
#define TX1838_EQ3			0x09
#define TX1838_0			0x16
#define TX1838_100			0x19
#define TX1838_200			0x0D
#define TX1838_1			0x0C
#define TX1838_2			0x18
#define TX1838_3			0x5E
#define TX1838_4			0x08
#define TX1838_5			0x1C
#define TX1838_6			0x5A
#define TX1838_7			0x42
#define TX1838_8			0x52
#define TX1838_9			0x4A*/switch(cmd){case TX1838_CH0://printf("Receice cmd : TX1838 receice is TX1838_CH0 !\r\n");break;case TX1838_CH1://printf("Receice cmd : TX1838 receice is TX1838_CH1 !\r\n");break;case TX1838_CH2://printf("Receice cmd : TX1838 receice is TX1838_CH2 !\r\n");break;case TX1838_VOL1:byj48_type = 0;printf("Receice cmd : TX1838 receice is	正转	!\r\n");break;case TX1838_VOL2:byj48_type = 1;printf("Receice cmd : TX1838 receice is 反转 !\r\n");break;case TX1838_VOL3:printf("Receice cmd : TX1838 receice is 加速 !\r\n");break;case TX1838_EQ1:if(byj48_value<300){byj48_value = 300;}byj48_value -= 10;printf("Receice cmd : TX1838 receice is 加速 !\r\n");break;case TX1838_EQ2:if(byj48_value>1000){byj48_value = 1000;}byj48_value += 10;printf("Receice cmd : TX1838 receice is 减速 !\r\n");break;
//		case TX1838_EQ3:
//			printf("Receice cmd : TX1838 receice is TX1838_EQ3 !\r\n");
//			break;
//		case TX1838_0:
//			printf("Receice cmd : TX1838 receice is TX1838_0 !\r\n");
//			break;
//		case TX1838_100:
//			printf("Receice cmd : TX1838 receice is TX1838_100 !\r\n");
//			break;
//		case TX1838_200:
//			printf("Receice cmd : TX1838 receice is TX1838_200 !\r\n");
//			break;
//		case TX1838_1:
//			printf("Receice cmd : TX1838 receice is TX1838_1 !\r\n");
//			break;
//		case TX1838_2:
//			printf("Receice cmd : TX1838 receice is TX1838_2 !\r\n");
//			break;
//		case TX1838_3:
//			printf("Receice cmd : TX1838 receice is TX1838_3 !\r\n");
//			break;
//		case TX1838_4:
//			printf("Receice cmd : TX1838 receice is TX1838_4 !\r\n");
//			break;
//		case TX1838_5:
//			printf("Receice cmd : TX1838 receice is TX1838_5 !\r\n");
//			break;
//		case TX1838_6:
//			printf("Receice cmd : TX1838 receice is TX1838_6 !\r\n");
//			break;
//		case TX1838_7:
//			printf("Receice cmd : TX1838 receice is TX1838_7 !\r\n");
//			break;
//		case TX1838_8:
//			printf("Receice cmd : TX1838 receice is TX1838_8 !\r\n");
//			break;
//		case TX1838_9:
//			printf("Receice cmd : TX1838 receice is TX1838_9 !\r\n");
//			break;default:printf("Receice cmd : TX1838 receice err!\r\n");break;}
}

//c51_tx1838.h文件

#ifndef __C51_TX1838_H__
#define __C51_TX1838_H__#define  NEC 	P32 //红外线接收头  #define TX1838_CH0			0x45
#define TX1838_CH1			0x46
#define TX1838_CH2			0x47
#define TX1838_VOL1			0x44
#define TX1838_VOL2			0x40
#define TX1838_VOL3			0x43
#define TX1838_EQ1			0x07
#define TX1838_EQ2			0x15
#define TX1838_EQ3			0x09
#define TX1838_0			0x16
#define TX1838_100			0x19
#define TX1838_200			0x0D
#define TX1838_1			0x0C
#define TX1838_2			0x18
#define TX1838_3			0x5E
#define TX1838_4			0x08
#define TX1838_5			0x1C
#define TX1838_6			0x5A
#define TX1838_7			0x42
#define TX1838_8			0x52
#define TX1838_9			0x4Aextern unsigned char tx1838_cnt;
extern unsigned char tx1838_type;
extern unsigned char tx1838_flag;
extern unsigned char tx1838_repeat_flag;
extern unsigned char tx1838_value;
extern unsigned char tx1838_data[4];
extern unsigned int tx1838_time;//extern void sys_tx1838_test(void);
extern void sys_nec_test(void);
extern void hx1838_transform(unsigned char cmd);#endif

3.2 定时器程序

//c51_timer.c文件

#include "includes.h"void sys_timer_init(void)
{sys_timer0_init();sys_timer1_init();sys_timer2_init();sys_wdog_init();clr_wdg();
}/*------------------------------------------------定时器初始化子程序
------------------------------------------------*/
void sys_timer0_init(void)
{TMOD &= 0xF0;TMOD |= 0x01;	  //使用模式1,16位定时器,使用"|"符号可以在使用多个定时器时不受影响		     TH0=0x00;	      //给定初值,这里使用定时器最大值从0开始计数一直到65535溢出TL0=0x00;//EA=1;            //总中断打开 等最后一个中断打开//ET0=1;           //定时器中断打开TF0=0;           //定时器标志清零TR0=0;           //定时器开关打开
}/*------------------------------------------------定时器初始化子程序
------------------------------------------------*/
void sys_timer1_init(void)
{TMOD |= 0x20;	  //使用模式2,	     TH1=0x05;	      //给定初值,这里使用定时器最大值从5开始计数一直到255溢出TL1=0x00;//EA=1;            //总中断打开//ET1=1;           //定时器中断打开//TR1=1;           //定时器开关打开
}/*------------------------------------------------定时器初始化子程序
------------------------------------------------*/
void sys_timer2_init(void)
{RCAP2H = 0/256;//RCAP2L = 0/256;//ET2=1;                     //打开定时器中断//EA=1;                      //打开总中断//TR2=1;                     //打开定时器开关
}/*** @brief  定时器0设置计数器值* @param  Value,要设置的计数器值,范围:0~65535* @retval 无*/
void timer0_set(unsigned int Value)
{TH0=Value/256;TL0=Value%256;
}/*** @brief  定时器0获取计数器值* @param  无* @retval 计数器值,范围:0~65535*/
unsigned int timer0_get(void)
{return ((TH0<<8)|TL0);
}/*** @brief  定时器0启动停止控制* @param  Flag 启动停止标志,1为启动,0为停止* @retval 无*/
void timer0_run(unsigned char Flag)
{TR0=Flag;
}void sys_wdog_init(void)
{ //WDT_CONTR = 0x35;
}void clr_wdg(void)
{//WDT_CONTR = 0x35;
}/*------------------------------------------------定时器中断子程序
------------------------------------------------*/
void Timer0_isr(void) interrupt 1
{TH0=0x00;		  //重新赋值TL0=0x00;//sys_led_test1(); //流水灯操作
}/*------------------------------------------------定时器中断子程序
------------------------------------------------*/
void Timer1_isr(void) interrupt 3
{//sys_led_test1(); //流水灯操作}	/*------------------------------------------------定时器中断子程序
------------------------------------------------*/
void Timer2_isr(void) interrupt 5//定时器2中断
{TF2=0;//sys_led_test1(); //流水灯操作
}

//c51_timer.h文件

#ifndef __C51_TIMER_H__
#define __C51_TIMER_H__extern void timer0_set(unsigned int Value);
extern unsigned int timer0_get(void);
extern void timer0_run(unsigned char Flag);extern void sys_timer_init(void);
extern void sys_timer0_init(void);
extern void Timer0_isr(void);
extern void sys_timer1_init(void);
extern void Timer1_isr(void);
extern void sys_timer2_init(void);
extern void Timer2_isr(void);extern void sys_wdog_init(void);
extern void clr_wdg(void);#endif

3.3 数码管显示程序

实现数码管显示遥控器的发送码。

//c51_ledtube.c文件

#include "includes.h"// 显示段码值01234567,可对应原理图查看显示不同图形对应的引脚高点电平配置状态
unsigned char const EL[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,\0x77,0x7c,0x39,0x5e,0x79,0x71};//0-F///********************************************************
//函数名称:sys_ledtube_on1
//函数功能:点亮一个数码管全为亮起来
//入口参数:
//出口参数:
//修    改:
//内    容:
//********************************************************/
//void sys_ledtube_on1(void)
//{
//	//根据原理图,将P0口全部输出高电平,P2选择0号数码管
//	P0=0xFF;//取显示数据,段码
//	P2=0;  	//取位码
//}///********************************************************
//函数名称:sys_ledtube_on2
//函数功能:显示一组数据
//入口参数:
//出口参数:
//修    改:
//内    容:
//********************************************************/
//static unsigned char ledtube_cnt = 0;
//void sys_ledtube_on2(void)
//{
//	ledtube_cnt++;
//	if(ledtube_cnt>7)
//	{
//		ledtube_cnt = 0;
//	}
//	P0 = 0x00;				//防止切换数码管瞬间有虚影出现
//	P2 = 0x00;
//	P0 = EL[ledtube_cnt];	//取显示数据,段码
//	P2 = ledtube_cnt;  		//取位码
//	
//	//根据人眼适应虚影缓冲时间为50ms左右
//	//我们调整delay在500以下可以看到明显的看起来是一串数据一起显示
//	delay(100); 			
//}/********************************************************
函数名称:sys_keynum_ledon
函数功能:显示按键数值
入口参数:按键数值
出口参数:
修    改:
内    容:
********************************************************/
void sys_keynum_ledon(unsigned char num,unsigned char pn)
{//根据原理图,将P0口全部输出高电平,P2选择0号数码管P0 = 0x00;		//防止切换数码管瞬间有虚影出现P2 = pn;  		//取位码P0 = EL[num];	//取显示数据,段码
}

//c51_ledtube.h文件

#ifndef __C51_LEDTUBE_H__
#define __C51_LEDTUBE_H__extern unsigned char const EL[];//extern void sys_ledtube_on1(void);
//extern void sys_ledtube_on2(void);extern void sys_keynum_ledon(unsigned char num,unsigned char pn);#endif

3.4 外部中断程序

实现在有数据过来时,下降沿触发解码程序。

//c51_exit.c文件

#include "includes.h"void sys_exit_init(void)
{IT0=1;         //边沿触发EX0=1;         //外部中断0开IE0=0;		   //中断标志清零//PX0 = 1;		 //中断优先级不配置EA = 1;//EX1=1;         //外部中断1开//IT1=0;         //电平触发//IT1=1;         //边沿触发,IT1=0表示电平触发
}/*------------------------------------------------外部中断程序
------------------------------------------------*/
void Exit0_isr(void) interrupt 0
{IE0=0;		   //中断标志清零sys_nec_test();
}/*------------------------------------------------外部中断程序
------------------------------------------------*/
void Exit1_isr(void) interrupt 2
{//在此处可以添加去抖动程序,防止按键抖动造成错误//P1=~P1;
}

//c51_exit.h文件

#ifndef __C51_EXIT_H__
#define __C51_EXIT_H__extern void sys_exit_init(void);
extern void Exit0_isr(void);
extern void Exit1_isr(void);#endif

3.5 串口打印程序

实现printf打印不同的字符串。

//c51_uart.c文件

#include "includes.h"/*-----------------------------------------------名称:串口通信内容:连接好串口或者usb转串口至电脑,下载该程序,打开电源打开串口调试程序,将波特率设置为9600,无奇偶校验晶振11.0592MHz,发送和接收使用的格式相同,如都使用字符型格式,在发送框输入 hello,I Love MCU ,在接收框中同样可以看到相同字符,说明设置和通信正确
------------------------------------------------*//******************************************************************/
void sys_uart_init(void)
{SCON  = 0x50;		        /* SCON: 模式 1, 8-bit UART, 使能接收         */TMOD |= 0x20;               /* TMOD: timer 1, mode 2, 8-bit reload        */TH1   = 0xFD;               /* TH1:  reload value for 9600 baud @ 11.0592MHz   */TR1   = 1;                  /* TR1:  timer 1 run                          */EA    = 1;                  /*打开总中断*///ES    = 1;                  /*打开串口中断*///当使用串口协议通讯时可以使用此型号中断}void Uart_SendChar(unsigned char  dat)
{SBUF = dat; while(!TI); TI = 0; 
}char putchar(char c)//重定向
{Uart_SendChar(c);return c;}/******************************************************************/
/*                  串口中断程序                                  */
/******************************************************************/static unsigned char uart_temp = 0;          //定义临时变量 
static unsigned char uart_cnt = 0;          //定义临时变量 void UART_isr(void) interrupt 4 //串行中断服务程序
{if(RI)                        //判断是接收中断产生{RI=0;                      //标志位清零uart_temp=SBUF;                 //读入缓冲区的值if(uart_cnt==0){if(0x02 == uart_temp){uart_cnt = 1;}else{uart_cnt = 0;}}else if(uart_cnt==1){if(0x05 == uart_temp){uart_cnt = 2;}else{uart_cnt = 0;}}else if(uart_cnt==2){uart_cnt = 0;//P1=uart_temp;                   //把值输出到P1口,用于观察SBUF=uart_temp;                 //把接收到的值再发回电脑端}else{uart_cnt = 0;}}if(TI)                        //如果是发送标志位,清零{TI=0;}
} 

//c51_uart.h文件

#ifndef __C51_UART_H__
#define __C51_UART_H__extern void Uart_SendChar(unsigned char  dat);
extern char putchar(char c);//重定向extern void sys_uart_init(void);
extern void UART_isr(void);#endif

3.6 步进电机程序

//“c51_28byj48.c” 文件

#include "includes.h"bit byj48_type = 0;
unsigned int byj48_value = 0;(1)单4拍控制方式
脉冲电平循环发送的方式控制:
//unsigned char code F_Rotation[4]={0x02,0x04,0x08,0x10}; //正转表格,换算成二进制 0000 0010,0000 0100,0000 1000,0001 0000
//unsigned char code B_Rotation[4]={0x10,0x08,0x04,0x02}; //反转表格,换算成二进制 0001 0000,0000 1000,0000 0100,0000 0010//(2)双4拍控制方式
//AC互补,BD互补的方式进行控制:
//脉冲电平循环发送的方式控制:
unsigned char code F_Rotation[4]={0x09,0x03,0x06,0x0C}; //正转表格
unsigned char code B_Rotation[4]={0x0C,0x06,0x03,0x09}; //反转表格(3)8拍控制方式
正转的步进次序如下:AB组 → BC组 → CD组 → DA组(每个脉冲使电机正转5.625/64度)。
反转的步进次序如下:AB组 → AD组 → CD组 → CB组(每个脉冲使电机正转5.625/64度)。
//unsigned char code F_Rotation[4]={0x03,0x06,0x0c, 0x09}; //正转表格
//unsigned char code B_Rotation[4]={0x03,0x09,0x0c,0x06};//反转表格void sys_28byj48_func(bit type,unsigned int value)
{unsigned char i = 0; if(type){for(i=0;i<4;i++)      //4相{P1=F_Rotation[i];  //输出对应的相 可以自行换成反转表格delay(value);        //改变这个参数可以调整电机转速 ,数字越小,转速越大}}else{for(i=0;i<4;i++)      //4相{P1=B_Rotation[i];  //输出对应的相 可以自行换成反转表格delay(value);        //改变这个参数可以调整电机转速 ,数字越小,转速越大}}
}

//c51_28byj48.h文件

#ifndef __C51_28BYJ48_H__
#define __C51_28BYJ48_H__extern bit byj48_type;
extern unsigned int byj48_value;extern void sys_28byj48_func(bit type,unsigned int value);#endif

3.7 演示效果

(1)串口打印效果

在这里插入图片描述

(2)步进电机实物链接图

在这里插入图片描述

4 设计总结

这种基于STC89C52的遥控器控制步进电机转速和转向操作并通过printf打印信息的系统可以在许多实际场景中发挥作用。以下是一些可能的应用场景:

  1. 智能家居系统:通过遥控器控制步进电机来控制家居窗帘、门禁系统、智能灯光等,实现智能家居的自动化和远程控制。
  2. 监控摄像头:控制监控摄像头的方向和转速,实现对特定区域的监控和跟踪,通过遥控器实现方向控制。
  3. 无人机:控制无人机的方向和高度调整,通过遥控器控制飞行器的移动和姿态调整。
  4. 工业自动化:控制工业机器人的运动,包括转向和速度,用于自动化生产线上的操作。
  5. 车载设备:应用于车载电动窗、天窗、座椅等部件的控制,通过遥控器实现车内设备的远程操作。
  6. 教育示范:用于教学示范,展示遥控器对步进电机的控制原理,以及如何通过单片机实现远程控制。
  7. 科研实验:在实验室环境中用于控制实验设备的转向和速度,如旋转式台盘、转动平台等。
  8. 娱乐设备:应用于模型车、模型船等遥控玩具的控制,实现远程控制玩具车辆的转向和速度。
    以上是一些可能的应用场景,这种系统可以在很多需要远程控制步进电机的场合发挥作用。根据具体需求和场景的不同,系统可能需要进行适当的定制和调整。希望这些应用场景能够启发您,为您的项目提供一些灵感。如果您有任何进一步的问题或需要更多帮助,请随时告诉我。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/385136.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

SpringBoot集成Sharding-JDBC实现分库分表

本文已收录于专栏 《中间件合集》 目录 版本介绍背景介绍拆分方式集成并测试1.引入依赖2.创建库和表3.pom文件配置4.编写测试类Entity层Mapper接口MapperXML文件测试类 5.运行结果 自定义分片规则定义分片类编写pom文件 总结提升 版本介绍 SpringBoot的版本是&#xff1a; 2.3.…

IDEA Maven使用HTTP代理,解决Could not transfer artifact org.xxx问题

文章目录 一、前言二、遇到问题三、分析问题四、HTTP代理五、重新编译验证 一、前言 遇到这个问题&#xff0c;有两种解决办法 IDEA Maven使用HTTP代理&#xff0c;解决Could not transfer artifact org.xxx问题IDEA Maven使用国内镜像&#xff0c;解决Could not transfer arti…

C语言分支语句之if的一些用法

目录 引言C语言结构 1. if 语句1.1 if1.2 else 2. 分支中包含多条语句3. 多重选择 else if4. 嵌套if5. 悬空else / else与if配对问题 引言 C语言作为一种非常常用的编程语言&#xff0c;具有灵活强大的循环和分支结构。循环结构允许我们重复执行一段代码&#xff0c;而分支结构…

【网络爬虫技术】(1·绪论)

&#x1f308; 个人主页&#xff1a;十二月的猫-CSDN博客 &#x1f525; 系列专栏&#xff1a; &#x1f3c0;网络爬虫开发技术入门_十二月的猫的博客-CSDN博客 &#x1f4aa;&#x1f3fb; 十二月的寒冬阻挡不了春天的脚步&#xff0c;十二点的黑夜遮蔽不住黎明的曙光 目录 …

本地部署Graphhopper路径规划服务(graphhopper.sh启动版)

文章目录 文章参考源码获取一、配置Java环境变量二、配置Maven环境变量三、构建graphhopper步骤1. 下载数据2. 配置graphhopper配置文件config-example.yml3. 在项目中启动命令行执行./graphhopper.sh build3.1|、遇到的问题3.1.1、pom.xml中front-maven-plugin-无法下载npm6.1…

跨境电商独立站:Shopify/Wordpress/店匠选哪个?

在面对不断增加的平台运营压力时&#xff0c;不少跨境电商的商家逐渐将注意力转向建立自己的独立站。据《中国跨境出口电商发展报告&#xff08;2022&#xff09;》所示&#xff0c;中国拥有的独立站数量在2022年已接近20万个&#xff0c;这表明独立站已成为卖家拓展海外市场的…

昇思25天学习打卡营第11天|xiaoyushao

今天分享ResNet50迁移学习。 在实际应用场景中&#xff0c;由于训练数据集不足&#xff0c;所以很少有人会从头开始训练整个网络。普遍的做法是&#xff0c;在一个非常大的基础数据集上训练得到一个预训练模型&#xff0c;然后使用该模型来初始化网络的权重参数或作为固定特征提…

苦学Opencv的第十一天:图像的形态学操作

Python OpenCV从入门到精通学习日记&#xff1a;图像的形态学操作 前言 图像形态学是图像处理中的一个重要分支&#xff0c;主要关注图像中物体的形状和结构。通过形态学操作&#xff0c;我们可以对图像进行有效的分析和处理&#xff0c;例如图像的腐蚀与膨胀、开运算与闭运算…

大模型学习笔记十四:Agent模型微调

文章目录 一、大模型需要Agent技术的原因二、Prompt Engineering可以实现Agent吗&#xff1f;&#xff08;1&#xff09;ReAct原理展示和代码&#xff08;2&#xff09;ModelScope&#xff08;3&#xff09;AutoGPT&#xff08;4&#xff09;ToolLLaMA 三、既然AutoGPT可以满足…

利用OSMnx求路网最短路径并可视化(二)

书接上回&#xff0c;为了增加多路径的可视化效果和坐标匹配最近点来实现最短路可视化&#xff0c;我们使用图形化工具matplotlib结合OSMnx的绘图功能来展示整个路网图&#xff0c;并特别高亮显示计算出的最短路径。 多起终点最短路路径并计算距离和时间 完整代码#运行环境 P…

C++——QT:保姆级教程,从下载到安装到用QT写出第一个程序

登录官网&#xff0c;在官网选择合适的qt版本进行下载 这里选择5.12.9版本 点击exe文件下载&#xff0c;因为服务器在国外&#xff0c;国内不支持&#xff0c;所以可以从我的网盘下载 链接: https://pan.baidu.com/s/1XMILFS1uHTenH3mH_VlPLw 提取码: 1567 --来自百度网盘超级…

Linux--网络基础

目录 1.计算机网络背景 2. 初识协议 2.1概念 2.2 协议分层 2.3OSI 七层模型 2.4TCP/IP 五层(或四层)模型 3.再识协议 3.1为什么要有 TCP/IP 协议&#xff1f; 3.2什么是 TCP/IP 协议&#xff1f; 3.3TCP/IP 协议与操作系统的关系(宏观上&#xff0c; 怎么实现的) 3.…

一键解锁:科研服务器性能匹配秘籍,选择性能精准匹配科研任务和计算需求的服务器

一键解锁&#xff1a;科研服务器性能匹配秘籍 HPC科研工作站服务器集群细分领域迷途小书童 专注于HPC科研服务器细分领域kyfwq001 &#x1f3af;在当今科技飞速发展的时代&#xff0c;科研工作对计算资源的需求日益增长&#x1f61c;。选择性能精准匹配科研任务和计算需求的服…

HarmonyOS和OpenHarmony区别联系

前言 相信我们在刚开始接触鸿蒙开发的时候经常看到HarmonyOS和OpenHarmony频繁的出现在文章和文档之中&#xff0c;那么这两个名词分别是什么意思&#xff0c;他们之间又有什么联系呢&#xff1f;本文将通过现有的文章和网站内容并与Google的AOSP和Android做对比&#xff0c;带…

助力樱桃智能自动化采摘,基于嵌入式端超轻量级模型LeYOLO全系列【n/s/m/l】参数模型开发构建果园种植采摘场景下樱桃成熟度智能检测识别系统

随着科技的飞速发展&#xff0c;人工智能&#xff08;AI&#xff09;技术已经渗透到我们生活的方方面面&#xff0c;从智能家居到自动驾驶&#xff0c;再到医疗健康&#xff0c;其影响力无处不在。然而&#xff0c;当我们把目光转向中国的农业领域时&#xff0c;一个令人惊讶的…

python-NLP:2词性标注与命名实体识别

文章目录 词性标注命名实体识别时间命名实体&#xff08;规则方法&#xff09;CRF 命名实体识别方法 词性标注 词性是词汇基本的语法属性&#xff0c;通常也称为词类。词性标注是在给定句子中判定每个词的语法范畴&#xff0c;确定其词性并加以标注的过程。例如&#xff0c;表示…

【React】详解“最新”和“最热”切换与排序

文章目录 一、基本概念和初始化二、切换与排序功能的实现1. 函数定义和参数2. 设置活动 Tab3. 定义新列表变量4. 根据排序类型处理列表4.1 按时间降序排序4.2 按点赞数降序排序 5. 更新评论列表 三、渲染导航 Tab 和评论列表1. map 方法2. key 属性3. className 动态赋值4. onC…

模式Hash和history

vuerouter有两种路由模式Hash和history。区别&#xff1a;Hash为默认模式&#xff0c;url中包含一个#符号的哈希部分。优势&#xff1a;兼容性好&#xff0c;不需要后端服务器的特殊配置。缺点&#xff1a;不够美观&#xff0c;搜索引擎优化较差。History模式使用的浏览器的His…

小程序多排数据横向滚动实现

如何实现多排数据滚动效果 swiper 外部容器 swiper-item 每一页的数据 因为现在有多排数据,现在在swiper-item 中需要循环一个数组 初版 <template><view><view class"container"><view class"swiper-box"><swiper class&qu…

《Utilizing Ensemble Learning for Detecting Multi-Modal Fake News》

系列论文研读目录 文章目录 系列论文研读目录论文题目含义ABSTRACTINDEX TERMSI. INTRODUCTIONII. RELATED WORKA. FAKE NEWS CLASSIFICATION APPROACHES FOR SINGLE-MODALITY 单模态虚假新闻分类方法1) SINGLE-MODALITY BASED CLASSIFICATION APPROACHES USING TEXTUAL FEATUR…