FPGA上板项目(三)——RAM测试

目录

  • 实验内容
  • 实验原理
  • 实验步骤
  • 实验用时序波形
  • HDL 代码
  • 仿真
  • 综合实现
  • 上板测试


实验内容

对 FPGA 内部的 RAM 进行数据读写操作。

实验原理

RAM (Random Access Memory),是可以进行数据交换的存储器,可读可写;而 ROM(Read-Only Memory)为只读存储器,只可读取不可写入。

实验步骤

  • 添加 Block Memory Generator IP核,选择 Simple Dual Port RAM,则代表使用两个独立端口,Port A 作为输入,Port B 作为输出。
    在这里插入图片描述
  • 关于 Port A 的设置:Width 代表数据位宽,Depth 代表可以存放的数据个数。
    在这里插入图片描述
  • 关于 Port B 的设置:Width 和 Depth 与端口A保持一致,勾选 Primitives Output Register 会在输出数据后加一级寄存器,输出会落后地址两个时钟,取消勾选则落后一个时钟。
    在这里插入图片描述
  • RAM 模块端口定义
信号名称方向说明
clkain端口A的时钟
weain端口A的写使能
addrain端口A的地址输入
dinain端口A的数据输入
clkbin端口B的时钟
addrbin端口B的地址输入
doutbout端口B的数据输出
  • 写时序图:在 wea 为高,同时 clka 为上升沿时,将数据 dina 写入地址 addra 中。

在这里插入图片描述

  • 读时序图:clkb 的上升沿时刻读取地址 addrb,并输出该地址对应的数据 doutb。由于是流水线作业,从时序上看,输出的数据 doutb 落后地址 addrb 一个时钟周期。

在这里插入图片描述

实验用时序波形

在这里插入图片描述

时序图说明:

  • wea 置高时,DINA、ADDRA 开始变化,从而开始写入数据,地址为 0 - 511,数据为 10 - 521。
  • 写入后的下一时刻便开始读取数据,地址从 0 - 511。

HDL 代码

module RAM#(parameter DATA_DEPTH = 'd512
)(input wire sys_clk_p,input wire sys_clk_n,input wire rst          // 同步低复位
);/**********************************************
*********** IBUFDS 原语
**********************************************/IBUFDS IBUFDS_inst(.O(sys_clk),.I(sys_clk_p),.IB(sys_clk_n));/**********************************************
*********** 实例化 RAM
**********************************************/reg wea;reg [8:0] addra;reg [8:0] addrb;reg [31:0] dina;wire [31:0] doutb;ram_ip inst_ram (.clka(sys_clk),    // input wire clka.wea(wea),      // input wire [0 : 0] wea.addra(addra),  // input wire [8 : 0] addra.dina(dina),    // input wire [31 : 0] dina.clkb(sys_clk),    // input wire clkb.addrb(addrb),  // input wire [8 : 0] addrb.doutb(doutb)  // output wire [31 : 0] doutb);/**********************************************
*********** 输入端信号赋值
**********************************************/// 赋值wea信号always@(posedge sys_clk) beginif(!rst) beginwea <= 1'b0;endelse if(addra == DATA_DEPTH - 1) beginwea <= 1'b0;endelse beginwea <= 1'b1;endend// 赋值dina信号always@(posedge sys_clk) beginif(!rst) begindina <= 32'd10;endelse if(dina >= DATA_DEPTH + 32'd9) begindina <= DATA_DEPTH + 32'd9;endelse if(wea) begindina <= dina + 32'd1;endend// 赋值addra信号always@(posedge sys_clk) beginif(!rst) beginaddra <= 9'd0;endelse if(addra >= DATA_DEPTH - 9'd1) beginaddra <= DATA_DEPTH - 32'd1;endelse if(wea) beginaddra <= addra + 9'd1;endend/**********************************************
*********** 输出端信号赋值
**********************************************/// 赋值addrb信号always@(posedge sys_clk) beginif(!rst) beginaddrb <= 9'd0;endelse beginaddrb <= addra;endend/**********************************************
*********** 实例 ILA
**********************************************/ila_0 inst_ila(.clk(sys_clk), // input wire clk.probe0(wea), // input wire [0:0]  probe0  .probe1(addrb), // input wire [8:0]  probe1 .probe2(doutb) // input wire [31:0]  probe2);
endmodule

仿真

  • testbench 代码
module tb_RAM#(parameter DATA_DEPTH = 'd512
)();
/**********************************************
*********** 实例化模块
**********************************************/reg sys_clk_p;wire sys_clk_n;reg rst;RAM #(.DATA_DEPTH(DATA_DEPTH))tb_RAM(.sys_clk_p(sys_clk_p),.sys_clk_n(sys_clk_n),.rst(rst));/**********************************************
*********** 初始化 clk、rst
**********************************************/// 初始化 clkassign sys_clk_n = ~sys_clk_p;initial beginsys_clk_p = 1;forever #2.5 sys_clk_p = ~sys_clk_p;   end// 初始化 rstinitial beginrst = 0;#102.5;rst = 1;endendmodule
  • 仿真结果:

在这里插入图片描述
在这里插入图片描述

综合实现

添加管脚和时序约束后,run synthesis 以及 run implementation,具体步骤可参考:FPGA上板项目(一)——点灯熟悉完整开发流程、ILA在线调试

上板测试

上板测试结果如下:

在这里插入图片描述
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/412596.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

操作系统:实验六文件操作实验

一、实验目的 1、了解文件系统功能及实现原理。 2、掌握LINUX下文件操作的有关系统调用。 3、熟悉main函数带参数运行的有关操作过程。 4、通过模拟程序实现简单的一级文件系统或二级文件系统。 二、实验内容 1、编程显示文件自身。&#xff08;1分&#xff09; #includ…

Java学习第五天

数组 数组适合做一批同类型数据的存储。 静态初始化数组&#xff1a; 注意&#xff1a;数组变量名中存储的是数组在内存中的地址&#xff0c;数组是引用类型。 数组的访问 动态初始化数组&#xff1a; 数组的遍历&#xff1a; 注意左边和右边的区别&#xff0c;一个是改变数组…

桥接与NET

仔细看看下面两幅图 net模式&#xff0c;就是在你的Windows电脑&#xff08;假设叫A电脑&#xff09;的网络基础上&#xff0c;再生成一个子网络&#xff0c;ip的前两位默认就是192.168&#xff0c;然后第三位是随机&#xff0c;第四位是自己可以手动设置的。使用这种模式唯一的…

grpc-spring 通信(选型,grpc-ecosystem/grpc-spring)

需求 需要一个在稳定网络环境里高性能且开发和部署成本较小&#xff0c;且多平台&#xff0c;且对视频传输和消息订阅和推送的支持比较好的&#xff0c; 一套环境 先说结论因为结论先得到的&#xff0c; 问AI了&#xff0c; 发现一个新东西gRPC ,看了下非常好。 再说过程&…

【2024 CCF编程能力等级认证(GESP)C++ 】一级大纲

目录 1. 背景2. 考核知识块3. 考核内容3.1 计算机基础知识3.2 集成开发环境3.3 结构化程序设计3.4 程序的基本语句3.5 程序的基本概念3.6 基本运算3.7 基本数据类型4. 考核目标5. 题型分布6. 考试时长7. 认证时间与报名8. 政策与福利9. GESP一级认证形式 1. 背景 官网&#xff…

OceanBase V4 技术解读:从Alter Table 看DDL的支持

背景 数据库类型可以划分为两大类&#xff1a;关系型数据库和非关系型数据库。而关系型数据库以表格形式进行数据组织&#xff0c;同时遵循表关系的约束&#xff0c;例如创建一张表&#xff0c;表里面包含多个列&#xff0c;不同的列可以有不同的类型。当需要改表结构&#xf…

数学建模赛前备赛——模拟退火算法

一.什么是智能优化算法 智能优化算法本质上是一个优化算法,它通过不断优化模型的参数,使得系统表现达到最优&#xff0c;常见的只能优化算法有很多&#xff0c;比如说蚁群算法,遗传算法以及我们今天的主角——模拟退火算法。 二.模拟算法的前身——爬山算法 爬山算法是一种简…

开放大世界的碰撞与物理

众所周知&#xff0c;物理开销一直是 CPU 的一个大头&#xff0c;而且还很容易出问题。对于开放世界&#xff0c;该如何进行物理运算&#xff0c;以及采用什么方案计算碰撞。 本文针对这个问题做了一些细微的研究&#xff0c;算是对 Unity 下的解决方案有了一个大致的方向。 1、…

Gartner报告解读:如何帮助企业完善数据分析与治理路线图

Gartner服务于全球100多个国家和地区的14,000余家机构&#xff0c;是一家深受客户信赖、观点客观的研究顾问公司。Garnter洞察、建议和工具可帮助您发现创新机遇&#xff0c;完成关键优先任务&#xff0c;助您成为企业不可或缺的战略专家和价值创造者。该公司是标普 500 指数成…

手把手教在Linux系统服务器下运行HM编码

先在SVN上下载HM文件包&#xff0c;可以看到文件中有linux文件夹&#xff0c;如果在windows下运行直接打开sln后缀的项目。不清楚的看这个&#xff1a; 一、准备工作 1、删除linux文件加下makefile.base中-Werror&#xff0c;文件路径如下&#xff1a; 打开文件&#xff0c;c…

自制深度学习推理框架之表达式层的设计与实现

文章目录 一、表达式Expression二、词法解析2.1 词法定义2.2 词法解析 三、语法解析3.1 语法树的定义3.2 语法树构建3.3 语法树的转换(逆波兰式) 四、表达式层4.1 ExpressionLayer和ExpressionParser类4.2 表达式层的注册4.3 表达式层的输入处理4.4 表达式层的计算过程 五、计算…

插入排序的动画展示与实现

排序学习思路&#xff1a;先实现单趟逻辑&#xff0c;在实现整体逻辑&#xff1b;先解决普遍情况&#xff0c;再解决特殊情况。 什么是插入排序 回忆下自己玩扑克牌的时候是怎么把手上的牌理顺的吧&#xff01;其实那就是插入排序&#xff0c;从左边往右边&#xff0c;把一张张…

Profinet 从站转 EtherNet/IP 从站网关

产品用途 本产品是 PN(Profinet) 和 EtherNet/IP 网关&#xff0c;使用数据映射方式工作。 本产品在 PN 侧作为 PN IO 从站&#xff0c;接 PN 主站设备&#xff0c;比如西门子 PLC 等&#xff1b;在EtherNet/IP 侧做为 EtherNet/IP 从站&#xff0c;接 EtherNet…

C++:继承用法详解~

在学完C的类和对象&#xff0c;并掌握了类的核心语法与基本用法之后&#xff1b;我们就得去学习一下继承的语法&#xff0c;与继承的用法。简单概括一下&#xff0c;继承是C中一种代码复用的手段&#xff0c;它允许我们&#xff0c;对已有的类&#xff0c;增添新的成员函数或变…

opencv实战项目十六:kmeans图像颜色聚类:

文章目录 前言K-means介绍效果 前言 在数字化时代&#xff0c;图像处理技术已成为计算机视觉领域的重要组成部分。其中&#xff0c;图像颜色聚类作为一项关键技术在众多应用场景中发挥着重要作用&#xff0c;如图像分割、物体识别、色彩调整等。K-means算法作为一种经典的聚类…

【云游戏】点量云流赋能大型游戏新体验

点量小刘发现近期国产化大型3A游戏《黑神话&#xff1a;悟空》的发售&#xff0c;可谓是赢得了一波好评。从场景内容来说深厚的文化底蕴支撑和高质量精美的特效及画面制作令人眼前一亮&#xff0c;作为备受瞩目的一款游戏&#xff0c;从技术层面来说&#xff0c;该游戏也离不开…

【DSP+FPGA】基于DSP+FPGA XC7K325T与TMS320C6678的通用信号处理平台

DSP FPGA 协同处理架构板载 1 个TMS320C6678 多核DSP处理节点板载 1 片 XC7K325T FPGA处理节点板载 1 个FMC 接口板载4路SFP光纤接口FPGA 与 DSP 之间采用高速Rapid IO互联 基于FPGA与DSP协同处理架构的通用高性能实时信号处理平台&#xff0c;该平台采用1片TI的KeyStone系列多…

制造企业如何启用BI工具,并构建自助式BI业务模式?

在制造业的数字化转型浪潮中&#xff0c;商业智能BI工具正逐渐成为推动企业增长的“加速引擎”。随着数据量的爆炸性增长&#xff0c;如何高效地分析和利用数据&#xff0c;已成为制造业提升竞争力的关键。本文将基于BI工具在制造业中的优势&#xff0c;深入探讨一种创新的BI分…

[Meachines] [Insane] Bankrobber XSS-MDOG+SQLI+XSRF+Local-RCE+Bankv2转账模拟应用缓冲区溢出

信息收集 IP AddressOpening Ports10.10.10.154TCP:80&#xff0c;443&#xff0c;445&#xff0c;3306 $ nmap -p- 10.10.10.154 --min-rate 1000 -sC -sV -Pn PORT STATE SERVICE VERSION 80/tcp open http …

jenkins安装k8s插件发布服务

1、安装k8s插件 登录 Jenkins&#xff0c;系统管理→ 插件管理 → 搜索 kubernetes&#xff0c;选择第二个 Kubernetes&#xff0c;点击 安装&#xff0c;安装完成后重启 Jenkins 。 2、对接k8s集群、申请k8s凭据 因为 Jenkins 服务器在 kubernetes 集群之外&#xff0c;所以…