Arduino驱动 LCD1602/2004液晶屏转接板模块

目录

  • 一、简介
  • 二、内部逻辑图
  • 三、引脚说明
  • 四、原理图
  • 五、器件地址
  • 六、使用方法


一、简介

在这里插入图片描述

点击图片购买

LCD1602/2004液晶屏转接板模块采用MCP2308芯片,通过IIC接口扩展8路通用双向IO口。可以为较少IO口的单片机扩展IO口,还可以作为LCD1602、LCD2004液晶屏提供简化的接线方案。由于采用IIC通信,可以在IIC总线上挂载多个LCD1602、LCD2004液晶屏或扩展更多的通用IO引脚,大大减少了主控单片机的IO口,使得扩展更加容易。

二、内部逻辑图

在这里插入图片描述

三、引脚说明

在这里插入图片描述
PH2.0 4P插座接口

V电源正极
G电源负极
CIIC接口时钟信号线SCL
DIIC接口数据信号线SDA

在这里插入图片描述

四、原理图

在这里插入图片描述

五、器件地址

在这里插入图片描述

六、使用方法

实验准备

LCD1602/2004液晶屏转接板模块1个
原装正版Arduino uno r3开发板1个
1602 LCD液晶屏 5.0V 黄绿屏1个
USB2.0打印机数据线高速方口连接转接线 A公对B公1条
杜邦线若干

接线

ArduinoLCD1602/2004液晶屏转接板模块
5VV
GNDG
SCLC
SDAD

程序下载

/*Demonstration sketch for Adafruit i2c/SPI LCD backpackusing MCP23008 I2C expander( http://www.ladyada.net/products/i2cspilcdbackpack/index.html )This sketch prints "Hello World!" to the LCDand shows the time.The circuit for Duemilanove* 5V to Arduino 5V pin* GND to Arduino GND pin* CLK to Analog #5* DAT to Analog #4For other boards, use the following chart (CLK=SCL,DAT=SDA)Board      I2C / TWI pins---------  --------------------------Uno, Yun   A4 (SDA), A5 (SCL)Mega2560   20 (SDA), 21 (SCL)Leonardo    2 (SDA),  3 (SCL)Due        20 (SDA), 21 (SCL)
*/// include the library code:
#include <Wire.h>
#include "LiquidTWI2.h"// Connect via i2c, address 0x20 (A0-A2 not jumpered)
LiquidTWI2 lcd(0x20);void setup() {// set the LCD typelcd.setMCPType(LTI_TYPE_MCP23008); 
//  lcd.setMCPType(LTI_TYPE_MCP23017); // set up the LCD's number of rows and columns:lcd.begin(16, 2);// Print a message to the LCD.lcd.print("hello, world!");
}void loop() {// set the cursor to column 0, line 1// (note: line 1 is the second row, since counting begins with 0):lcd.setCursor(0, 1);// print the number of seconds since reset:lcd.print(millis()/1000);lcd.setBacklight(HIGH);delay(500);lcd.setBacklight(LOW);delay(500);
}

实验现象
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/138755.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

北京智和信通亮相2023IT运维大会,共话数智浪潮下自动化运维新生态

2023年9月21日&#xff0c;由IT运维网、《网络安全和信息化》杂志社联合主办的“2023&#xff08;第十四届&#xff09;IT运维大会”在北京成功举办。大会以“以数为基 智引未来”为主题&#xff0c;北京智和信通技术有限公司&#xff08;下文简称&#xff1a;北京智和信通&…

成集云 | 金蝶云星空集成聚水潭ERP(金蝶云星空主管供应链)| 解决方案

源系统成集云目标系统 方案介绍 金蝶云星空是金蝶软件&#xff08;中国&#xff09;有限公司研发的新一代战略性企业管理软件&#xff0c;致力于为企业提供端到端的供应链整体解决方案&#xff0c;它可以帮助企业构建敏捷供应链体系&#xff0c;降低供应链成本&#xff0c;提…

spring boot 时间格式化输出

目录标题 一、spring boot 序列化二、 JsonFormat(pattern "yyyy-MM-dd HH:mm:ss")和JSONField(format "yyyy-MM-dd HH:mm:ss")区别三、在实体类中序列化时间&#xff08;格式化输出&#xff09;&#xff08;一&#xff09;使用JsonFormat&#xff08;二…

额外的迭代器

除了为每个容器定义的迭代器外&#xff0c;标准库在头文件iterator中还定义了额外几种迭代器&#xff1a; 插入迭代器&#xff1a; 插入器是一种迭代器适配器&#xff0c;它接受一个容器&#xff0c;生成一个迭代器&#xff0c;能实现向给定容器添加元素。 插入器有三种类型&a…

基于TensorFlow+CNN+协同过滤算法的智能电影推荐系统——深度学习算法应用(含微信小程序、ipynb工程源码)+MovieLens数据集(七)

目录 前言总体设计系统整体结构图系统流程图 运行环境模块实现1. 模型训练1&#xff09;数据集分析2&#xff09;数据预处理3&#xff09;模型创建4&#xff09;模型训练5&#xff09;获取特征矩阵 2. 后端Django3. 前端微信小程序1&#xff09;小程序全局配置文件2&#xff09…

动力节点老杜JavaWeb笔记(全)

Servlet 关于系统架构 系统架构包括什么形式? C/S架构B/S架构C/S架构? Client / Server(客户端 / 服务器)C/S架构的软件或者说系统有哪些呢? QQ(先去腾讯官网下载一个QQ软件,几十MB,然后把这个客户端软件安装上去,然后输入QQ号以及密码,登录之后,就可以和你的朋友聊…

Vim编辑器使用入门

目录 一、Vim 编辑器基础操作 二、Vim 编辑器进阶操作 三、Vim 编辑器高级操作 四、Vim 编辑器文件操作 五、Vim 编辑器文件管理 六、Vim 编辑器进阶技巧 七、Vim 编辑器增强功能 Vim的三种工作模式 一、Vim 编辑器基础操作 1.移动光标 - 光标的移动控制 移动光标有两…

【云服务器开放端口详细教程~来了】

你不知道我真的会哭 云服务器开放端口详细教程来了 前言 一、常见云服务器端口的认识 ● 云服务器端口一般是指 TCP/IP 协议中的端口&#xff0c;端口号的范围从 0 到 65535&#xff0c;比如用于浏览网页服务的 80 端口&#xff0c;用于 FTP 服务的 21 端口等等。 ● 当一…

VScode断点调试vue

VScode断点调试vue 1、修改launch.js文件&#xff08;没有这个文件就新建&#xff09;。 {// Use IntelliSense to learn about possible attributes.// Hover to view descriptions of existing attributes.// For more information, visit: https://go.microsoft.com/fwlin…

AI Studio星河社区生产力实践:基于文心一言快速搭建知识库问答

还在寻找基于文心一言搭建本地知识库问答的方案吗&#xff1f;AI Studio星河社区带你实战演练&#xff08;支持私有化部署&#xff09;&#xff01; 相信对于大语言模型&#xff08;LLM&#xff09;有所涉猎的朋友&#xff0c;对于“老网红”知识库问答不会陌生。自从大模型爆…

猫头虎博主的AI魔法课:一起探索CSDN AI工具集的奥秘!

&#x1f337;&#x1f341; 博主猫头虎 带您 Go to New World.✨&#x1f341; &#x1f984; 博客首页——猫头虎的博客&#x1f390; &#x1f433;《面试题大全专栏》 文章图文并茂&#x1f995;生动形象&#x1f996;简单易学&#xff01;欢迎大家来踩踩~&#x1f33a; &a…

2023-9-22 没有上司的舞会

题目链接&#xff1a;没有上司的舞会 #include <cstring> #include <iostream> #include <algorithm>using namespace std;const int N 6010;int n; int happy[N]; int h[N], e[N], ne[N], idx; bool has_father[N];// 两个状态&#xff0c;选该节点或不选该…

柔性数组

目录 概念&#xff1a; 柔性数组的要求&#xff1a; 柔性数组特点&#xff1a; 特点2举例&#xff1a; 特点3举例&#xff1a; 柔性数组再次调整变大&#xff1a; 奇怪的写法——不使用柔性数组&#xff1a; 从上述代码得知柔性数组的好处&#xff1a; 概念&#xff1a;…

基于PHP的短视频SEO矩阵系统源码开发

随着短视频市场的爆发式增长&#xff0c;越来越多的企业开始寻求在短视频领域建立自己的品牌形象&#xff0c;增加用户粘性和获取更多流量。为此&#xff0c;一套高效的短视频SEO矩阵系统源码显得尤为重要。本文将介绍基于PHP语言的短视频SEO矩阵系统源码开发&#xff0c;帮助读…

2023-09-18 LeetCode每日一题(打家劫舍 III)

2023-09-18每日一题 一、题目编号 337. 打家劫舍 III二、题目链接 点击跳转到题目位置 三、题目描述 小偷又发现了一个新的可行窃的地区。这个地区只有一个入口&#xff0c;我们称之为 root 。 除了 root 之外&#xff0c;每栋房子有且只有一个“父“房子与之相连。一番侦…

【收藏】如何最快取得NISP二级和CISP

【收藏】如何最快取得NISP二级和CISP &#x1f449;今天小编来给大家讲解一下如何最快的取得NISP二级和CISP证书 ✅我们从如下几个方面为大家讲解&#xff1a; &#x1f53a;报名条件 &#x1f53a;考试形式 &#x1f53a;考试题型 &#x1f53a;如何备考 &#x1f53a;证书用途…

SkipList跳表

SkipList&#xff0c;跳表&#xff0c;是一种有序的数据结构&#xff0c;可以作为平衡树的一种替代。本质上是一种利用稀疏索引加速链表查询的一组数据索引的结构。 平衡树一般指BST和 红黑树等数据结构&#xff0c;这种数据结构解决了 排序树的不平衡问题&#xff0c;但带来了…

RabbitMQ 消息应答

每日一句 物是人非事事休,欲语泪先流。 概述 为了保证消息在发送过程中不丢失,RabbitMQ引入了消息应答机制, 消费者在接收到消息并且处理该消息后,告诉RabbitMQ它已经处理了,RabbitMQ可以把消息删除了。 自动应答 消息发送后立即被认为已经传送成功,这种模式需要在…

VB求平均值

VB求平均值 Private Function pj(x() As Integer) As SingleDim m%, n%, i%, s%m LBound(x): n UBound(x)For i m To ns s x(i)Next ipj s / (n - m 1) End Function Private Sub Command1_Click()Dim a%(1 To 10), i%, aver!For i 1 To 10a(i) Int(Rnd() * 10) 随机…

安装Anaconda与pytorch,在IDEA中配置环境进行编程

1.官网下载与自己python版本匹配的Anaconda(注意&#xff0c;要想成功安装pytorch&#xff0c;python版本也要对应pytorch的相关版本) Anaconda官网最新版本 与自己python版本不否请查找自己版本anaconda版本对应 清华大学镜像下载 2.安装时勾选添加环境变量或者手动添加&am…