xilinx fpga ddr mig axi

硬件

参考:
https://zhuanlan.zhihu.com/p/97491454
https://blog.csdn.net/qq_22222449/article/details/106492469
https://zhuanlan.zhihu.com/p/26327347
https://zhuanlan.zhihu.com/p/582524766
包括野火、正点原子的资料

一片内存是 1Gbit 128MByte 16bit DDR3,也就是下图里的 64Meg x 16,mig 里要选 …64M16…,这个关系到地址线的个数,下图中同容量不同位宽的内存芯片的 ‘… addressing’ 部分是有不同的,有的少有的多。在硬件上只要连 A0-A12 就行了,除非是要兼容更大的内存

行地址(row addr)总线为 A0-A12,行地址位宽为 13 位 , 行地址数为 8192(213)(8K) 行,列地址(column addr)为 A0-A9,位宽为 10 位 , 列地址数为1024(210)(1K)列,单个 Bank 中包含的存储单元个数为行地址数(8192)与列地址数(1024)相乘,8K×1K=8M(8Meg);“16”表示数据位宽,即每个存储单元存储数据的 bit 数;8Meg 与 16 相乘表示单个 Bank 中可存储的 Bit 数;“8 banks”表示一片 SDRAM 中包含的 Bank 个数,此 DDR3 SDRAM 芯片包含 8 个 Bank;由此可得 DDR3 SDRAM 芯片的存储容量为:1024MBit (8Meg×16×8 banks)

如果是 256MByte 16bit 位宽的内存,banks 同样为 8 的话,那就是 16Meg(地址数) x 16bit x 8 banks,Row addr 就有 14 位,那么 mig 里就选 …128M16…
在这里插入图片描述假设 mig ip 里的 Memory Part 选择 MT41J128M16XX-125,结尾数字里的意思:
Data Rate 是传输频率,电脑上的 DDR3 1866 就是这个意思
-125 所支持的最小时钟周期 tCK = 1.25ns,对应芯片支持的最大 IO 时钟频率为 800MHz,数据传输频率就是 1600MHz。传输频率 x 位宽 = 传输速率,MT41J128M16XX-125 的位宽是 16bit,最大传输速率就是 1600MHz x 16bit(2Byte) = 3200MB = 3.125GiB
在这里插入图片描述
在这里插入图片描述

下图是各频率之间的关系,100MHz 核心频率 = 400MHz IO 频率 = 800MHz 传输频率。mig ip 传输 400MHz IO 频率给 ddr3,ddr3 内部获得 100MHz 的核心频率
在这里插入图片描述

MIG ip 配置

下图:
勾选了 AXI
在这里插入图片描述在这里插入图片描述
下图:
Clock Period:400MHz,是给 DDR3 的 IO 时钟,一片内存的传输速率就是 800MHz * 16bit(2Byte) = 1600MB = 1.5625GiByte,两片就是 3200MB = 3.125GiByte
PHY to Controller Clock Ratio:mig ip 反给用户的时钟,因为之前勾选了 axi,也就是 axi 给用户用的时钟,Clock Period 除以 4,所以 axi 的时钟是 100MHz
Data Width:两片内存就是 32bit
Number of Bank Machines: 不是 banks 数,是一种 bank 控制策略,保持默认
在这里插入图片描述下图:
Data Width:AXI 的位宽,AXI 时钟(100MHz) x AXI 的位宽(32Byte) = 3200MByte,这样才可能跑满两片 ddr3 的速率
在这里插入图片描述

下图:
Input Clock Period:用户给 mig ip 的时钟,用 mmcm 传给 mig
在这里插入图片描述

下图:
Reference Clock:前一步 mig ip 的时钟输入选 200MHz 这里才能选 Use System Clock,这样 ip 实例化的时候就可以少一个 ref_clk 的接口
Internal Vref:
使用内部 Vref。Vref 是 FPGA 的 IO Bank 参考电压引脚,一般要外接参考电压,但是这里若传输速率小于等于 800MHz 就可以不用外接,转而使用内部 Vref,然后该 Vref 引脚就可以空出来给用户使用,这里 mig 需要两个 IO Bank,就能空出 4 个 Vref 引脚(不同的 Bank 的 Vref 引脚数不一样),然后就可以把这两个 Vref 连到 ddr 的地址线上。如果 ddr 的 IO 已经连了 Vref 就必选了,不然 IO Bank 没参考电压
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
下图:
先读取 .ucf,再校验

在这里插入图片描述
ddr3.ucf:

# clock, reset
NET "ddr3_ck_p[0]" LOC = "R3";
NET "ddr3_ck_n[0]" LOC = "R2";
NET "ddr3_reset_n" LOC = "W6";# global control
NET "ddr3_cs_n[0]" LOC = "AB3";
NET "ddr3_cke[0]"  LOC = "T5";
NET "ddr3_odt[0]"  LOC = "U5";
NET "ddr3_we_n"    LOC = "AA1";# address control
NET "ddr3_ba[0]" LOC = "AA3";
NET "ddr3_ba[1]" LOC = "Y3";
NET "ddr3_ba[2]" LOC = "Y4";
NET "ddr3_ras_n" LOC = "V4";
NET "ddr3_cas_n" LOC = "W4";# address
NET "ddr3_addr[0]"  LOC = "AA4";
NET "ddr3_addr[1]"  LOC = "AB2";
NET "ddr3_addr[2]"  LOC = "AA5";
NET "ddr3_addr[3]"  LOC = "AB5";
NET "ddr3_addr[4]"  LOC = "AB1";
NET "ddr3_addr[5]"  LOC = "U3";
NET "ddr3_addr[6]"  LOC = "W1";
NET "ddr3_addr[7]"  LOC = "T1";
NET "ddr3_addr[8]"  LOC = "V2";
NET "ddr3_addr[9]"  LOC = "U2";
NET "ddr3_addr[10]" LOC = "Y1";
NET "ddr3_addr[11]" LOC = "W2";
NET "ddr3_addr[12]" LOC = "Y2";
NET "ddr3_addr[13]" LOC = "U1";# data control
NET "ddr3_dqs_p[0]" LOC = "E1";
NET "ddr3_dqs_p[1]" LOC = "K2";
NET "ddr3_dqs_p[2]" LOC = "M1";
NET "ddr3_dqs_p[3]" LOC = "P5";
NET "ddr3_dqs_n[0]" LOC = "D1";
NET "ddr3_dqs_n[1]" LOC = "J2";
NET "ddr3_dqs_n[2]" LOC = "L1";
NET "ddr3_dqs_n[3]" LOC = "P4";NET "ddr3_dm[0]" LOC = "D2";
NET "ddr3_dm[1]" LOC = "G2";
NET "ddr3_dm[2]" LOC = "M2";
NET "ddr3_dm[3]" LOC = "M5";# data
NET "ddr3_dq[0]"  LOC = "C2";
NET "ddr3_dq[1]"  LOC = "G1";
NET "ddr3_dq[2]"  LOC = "A1";
NET "ddr3_dq[3]"  LOC = "F3";
NET "ddr3_dq[4]"  LOC = "B2";
NET "ddr3_dq[5]"  LOC = "F1";
NET "ddr3_dq[6]"  LOC = "B1";
NET "ddr3_dq[7]"  LOC = "E2";
NET "ddr3_dq[8]"  LOC = "H3";
NET "ddr3_dq[9]"  LOC = "G3";
NET "ddr3_dq[10]" LOC = "H2";
NET "ddr3_dq[11]" LOC = "H5";
NET "ddr3_dq[12]" LOC = "J1";
NET "ddr3_dq[13]" LOC = "J5";
NET "ddr3_dq[14]" LOC = "K1";
NET "ddr3_dq[15]" LOC = "H4";
NET "ddr3_dq[16]" LOC = "L4";
NET "ddr3_dq[17]" LOC = "M3";
NET "ddr3_dq[18]" LOC = "L3";
NET "ddr3_dq[19]" LOC = "J6";
NET "ddr3_dq[20]" LOC = "K3";
NET "ddr3_dq[21]" LOC = "K6";
NET "ddr3_dq[22]" LOC = "J4";
NET "ddr3_dq[23]" LOC = "L5";
NET "ddr3_dq[24]" LOC = "P1";
NET "ddr3_dq[25]" LOC = "N4";
NET "ddr3_dq[26]" LOC = "R1";
NET "ddr3_dq[27]" LOC = "N2";
NET "ddr3_dq[28]" LOC = "M6";
NET "ddr3_dq[29]" LOC = "N5";
NET "ddr3_dq[30]" LOC = "P6";
NET "ddr3_dq[31]" LOC = "P2";

在这里插入图片描述

代码

mig.v:

module mig (input clk,input rst_n,// ddr3output [13 : 0] ddr3_addr,    // output [13 : 0] ddr3_addroutput [ 2 : 0] ddr3_ba,      // output [ 2 : 0] ddr3_baoutput          ddr3_cas_n,   // output          ddr3_cas_noutput          ddr3_ck_n,    // output          ddr3_ck_noutput          ddr3_ck_p,    // output          ddr3_ck_poutput          ddr3_cke,     // output          ddr3_ckeoutput          ddr3_ras_n,   // output          ddr3_ras_noutput          ddr3_reset_n, // output          ddr3_reset_n output          ddr3_we_n,    // output          ddr3_we_ninout  [31 : 0] ddr3_dq,      // inout  [31 : 0] ddr3_dqinout  [ 3 : 0] ddr3_dqs_n,   // inout  [ 3 : 0] ddr3_dqs_ninout  [ 3 : 0] ddr3_dqs_p,   // inout  [ 3 : 0] ddr3_dqs_poutput [ 0 : 0] ddr3_cs_n,    // output [ 0 : 0] ddr3_cs_noutput [ 3 : 0] ddr3_dm,      // output [ 3 : 0] ddr3_dmoutput [ 0 : 0] ddr3_odt,     // output [ 0 : 0] ddr3_odt// user// axioutput ui_clk,          // output ui_clkoutput ui_clk_sync_rst, // output ui_clk_sync_rst// read addressinput [28 : 0] s_axi_araddr,  // input [28 : 0] s_axi_araddrinput [ 7 : 0] s_axi_arlen,   // input [ 7 : 0] s_axi_arleninput          s_axi_arvalid, // input          s_axi_arvalidoutput         s_axi_arready, // output         s_axi_arready// read dataoutput [255 : 0] s_axi_rdata, // output [255 : 0] s_axi_rdataoutput          s_axi_rlast,  // output           s_axi_rlastinput           s_axi_rready, // input            s_axi_rreadyoutput          s_axi_rvalid, // output           s_axi_rvalid// write addressinput [28 : 0] s_axi_awaddr,  // input [28 : 0] s_axi_awaddrinput [ 7 : 0] s_axi_awlen,   // input [ 7 : 0] s_axi_awleninput          s_axi_awvalid, // input          s_axi_awvalidoutput         s_axi_awready, // output         s_axi_awready// wirte datainput [255 : 0] s_axi_wdata, // input [255 : 0] s_axi_wdatainput [ 31 : 0] s_axi_wstrb, // input [ 31 : 0] s_axi_wstrbinput          s_axi_wlast,  // input           s_axi_wlastinput          s_axi_wvalid, // input           s_axi_wvalidoutput         s_axi_wready, // output          s_axi_wready// write responseoutput s_axi_bvalid, // output s_axi_bvalidinput  s_axi_bready  // input  s_axi_bready
);mig_7series_0 mig_7series_0_i (.sys_clk_i(clk),.sys_rst  (rst_n),// ddr3.ddr3_addr          (ddr3_addr),    // output [13 : 0] ddr3_addr.ddr3_ba            (ddr3_ba),      // output [ 2 : 0] ddr3_ba.ddr3_cas_n         (ddr3_cas_n),   // output          ddr3_cas_n.ddr3_ck_n          (ddr3_ck_n),    // output          ddr3_ck_n.ddr3_ck_p          (ddr3_ck_p),    // output          ddr3_ck_p.ddr3_cke           (ddr3_cke),     // output          ddr3_cke.ddr3_ras_n         (ddr3_ras_n),   // output          ddr3_ras_n.ddr3_reset_n       (ddr3_reset_n), // output          ddr3_reset_n.ddr3_we_n          (ddr3_we_n),    // output          ddr3_we_n.ddr3_dq            (ddr3_dq),      // inout  [31 : 0] ddr3_dq.ddr3_dqs_n         (ddr3_dqs_n),   // inout  [ 3 : 0] ddr3_dqs_n.ddr3_dqs_p         (ddr3_dqs_p),   // inout  [ 3 : 0] ddr3_dqs_p.init_calib_complete(),             // output          init_calib_complete.ddr3_cs_n          (ddr3_cs_n),    // output [ 0 : 0] ddr3_cs_n.ddr3_dm            (ddr3_dm),      // output [ 3 : 0] ddr3_dm.ddr3_odt           (ddr3_odt),     // output [ 0 : 0] ddr3_odt// user// axi.ui_clk         (ui_clk),          // output ui_clk.ui_clk_sync_rst(ui_clk_sync_rst), // output ui_clk_sync_rst.mmcm_locked    (),                // output mmcm_locked.aresetn        (rst_n),           // input  aresetn.app_sr_req     (0),               // input  app_sr_req.app_ref_req    (0),               // input  app_ref_req.app_zq_req     (0),               // input  app_zq_req.app_sr_active  (),                // output app_sr_active.app_ref_ack    (),                // output app_ref_ack.app_zq_ack     (),                // output app_zq_ack// read address.s_axi_arid   (0),             // input [ 0 : 0] s_axi_arid.s_axi_araddr (s_axi_araddr),  // input [28 : 0] s_axi_araddr.s_axi_arlen  (s_axi_arlen),   // input [ 7 : 0] s_axi_arlen.s_axi_arsize (5),             // input [ 2 : 0] s_axi_arsize.s_axi_arburst(1),             // input [ 1 : 0] s_axi_arburst.s_axi_arlock (0),             // input [ 0 : 0] s_axi_arlock.s_axi_arcache(0),             // input [ 3 : 0] s_axi_arcache.s_axi_arprot (0),             // input [ 2 : 0] s_axi_arprot.s_axi_arqos  (0),             // input [ 3 : 0] s_axi_arqos.s_axi_arvalid(s_axi_arvalid), // input          s_axi_arvalid.s_axi_arready(s_axi_arready), // output         s_axi_arready// read data.s_axi_rid   (),             // output [  0 : 0] s_axi_rid.s_axi_rdata (s_axi_rdata),  // output [255 : 0] s_axi_rdata.s_axi_rresp (),             // output [  1 : 0] s_axi_rresp.s_axi_rlast (s_axi_rlast),  // output           s_axi_rlast.s_axi_rvalid(s_axi_rvalid), // output           s_axi_rvalid.s_axi_rready(s_axi_rready), // input            s_axi_rready// write address.s_axi_awid   (0),             // input [ 0 : 0] s_axi_awid.s_axi_awaddr (s_axi_awaddr),  // input [28 : 0] s_axi_awaddr.s_axi_awlen  (s_axi_awlen),   // input [ 7 : 0] s_axi_awlen.s_axi_awsize (5),             // input [ 2 : 0] s_axi_awsize.s_axi_awburst(1),             // input [ 1 : 0] s_axi_awburst.s_axi_awlock (0),             // input [ 0 : 0] s_axi_awlock.s_axi_awcache(0),             // input [ 3 : 0] s_axi_awcache.s_axi_awprot (0),             // input [ 2 : 0] s_axi_awprot.s_axi_awqos  (0),             // input [ 3 : 0] s_axi_awqos.s_axi_awvalid(s_axi_awvalid), // input          s_axi_awvalid.s_axi_awready(s_axi_awready), // output         s_axi_awready// wirte data.s_axi_wdata (s_axi_wdata),  // input [255 : 0] s_axi_wdata.s_axi_wstrb (s_axi_wstrb),  // input [ 31 : 0] s_axi_wstrb.s_axi_wlast (s_axi_wlast),  // input           s_axi_wlast.s_axi_wvalid(s_axi_wvalid), // input           s_axi_wvalid.s_axi_wready(s_axi_wready), // output          s_axi_wready// write response.s_axi_bid   (),             // output [0 : 0] s_axi_bid.s_axi_bresp (),             // output [1 : 0] s_axi_bresp.s_axi_bvalid(s_axi_bvalid), // output         s_axi_bvalid.s_axi_bready(s_axi_bready)  // input          s_axi_bready
);endmodule

work:

module work (input clk,input rst_n,// ddr3output [13 : 0] ddr3_addr,output [ 2 : 0] ddr3_ba,output          ddr3_cas_n,output          ddr3_ck_n,output          ddr3_ck_p,output          ddr3_cke,output          ddr3_ras_n,output          ddr3_reset_n,output          ddr3_we_n,inout  [31 : 0] ddr3_dq,inout  [ 3 : 0] ddr3_dqs_n,inout  [ 3 : 0] ddr3_dqs_p,output [ 0 : 0] ddr3_cs_n,output [ 3 : 0] ddr3_dm,output [ 0 : 0] ddr3_odt
);// mig
wire mig_clk;wire mig_ui_clk;
wire mig_ui_clk_sync_rst;reg [28 : 0] mig_s_axi_araddr;
reg [ 7 : 0] mig_s_axi_arlen;
reg          mig_s_axi_arvalid;
wire         mig_s_axi_arready;wire [255 : 0] mig_s_axi_rdata;
wire           mig_s_axi_rlast;
wire           mig_s_axi_rvalid;reg [28 : 0] mig_s_axi_awaddr;
reg [ 7 : 0] mig_s_axi_awlen;
reg          mig_s_axi_awvalid;
wire         mig_s_axi_awready;reg [255 : 0] mig_s_axi_wdata;
reg [ 31 : 0] mig_s_axi_wstrb;
reg           mig_s_axi_wlast;
reg           mig_s_axi_wvalid;
wire          mig_s_axi_wready;wire mig_s_axi_bvalid;mig mig_i (.clk  (mig_clk),.rst_n(rst_n),// ddr3.ddr3_addr          (ddr3_addr),    // output [13 : 0] ddr3_addr.ddr3_ba            (ddr3_ba),      // output [ 2 : 0] ddr3_ba.ddr3_cas_n         (ddr3_cas_n),   // output          ddr3_cas_n.ddr3_ck_n          (ddr3_ck_n),    // output          ddr3_ck_n.ddr3_ck_p          (ddr3_ck_p),    // output          ddr3_ck_p.ddr3_cke           (ddr3_cke),     // output          ddr3_cke.ddr3_ras_n         (ddr3_ras_n),   // output          ddr3_ras_n.ddr3_reset_n       (ddr3_reset_n), // output          ddr3_reset_n.ddr3_we_n          (ddr3_we_n),    // output          ddr3_we_n.ddr3_dq            (ddr3_dq),      // inout  [31 : 0] ddr3_dq.ddr3_dqs_n         (ddr3_dqs_n),   // inout  [ 3 : 0] ddr3_dqs_n.ddr3_dqs_p         (ddr3_dqs_p),   // inout  [ 3 : 0] ddr3_dqs_p.ddr3_cs_n          (ddr3_cs_n),    // output [ 0 : 0] ddr3_cs_n.ddr3_dm            (ddr3_dm),      // output [ 3 : 0] ddr3_dm.ddr3_odt           (ddr3_odt),     // output [ 0 : 0] ddr3_odt// user// axi.ui_clk         (mig_ui_clk),          // output ui_clk.ui_clk_sync_rst(mig_ui_clk_sync_rst), // output ui_clk_sync_rst// read address.s_axi_araddr (mig_s_axi_araddr),  // input [28 : 0] s_axi_araddr.s_axi_arlen  (mig_s_axi_arlen),   // input [ 7 : 0] s_axi_arlen.s_axi_arvalid(mig_s_axi_arvalid), // input          s_axi_arvalid.s_axi_arready(mig_s_axi_arready), // output         s_axi_arready// read data.s_axi_rdata (mig_s_axi_rdata),  // output [255 : 0] s_axi_rdata.s_axi_rlast (mig_s_axi_rlast),  // output           s_axi_rlast.s_axi_rvalid(mig_s_axi_rvalid), // output           s_axi_rvalid.s_axi_rready(mig_s_axi_rvalid), // input            s_axi_rready// write address.s_axi_awaddr (mig_s_axi_awaddr),  // input [28 : 0] s_axi_awaddr.s_axi_awlen  (mig_s_axi_awlen),   // input [ 7 : 0] s_axi_awlen.s_axi_awvalid(mig_s_axi_awvalid), // input          s_axi_awvalid.s_axi_awready(mig_s_axi_awready), // output         s_axi_awready// wirte data.s_axi_wdata (mig_s_axi_wdata),  // input [255 : 0] s_axi_wdata.s_axi_wstrb (mig_s_axi_wstrb),  // input [ 31 : 0] s_axi_wstrb.s_axi_wlast (mig_s_axi_wlast),  // input           s_axi_wlast.s_axi_wvalid(mig_s_axi_wvalid), // input           s_axi_wvalid.s_axi_wready(mig_s_axi_wready), // output          s_axi_wready// write response.s_axi_bvalid(mig_s_axi_bvalid), // output s_axi_bvalid.s_axi_bready(mig_s_axi_bvalid)  // input  s_axi_bready
);// mig 读写测试
reg [255 : 0] wdata;reg [3 : 0] step;always @(posedge mig_ui_clk) begin                                        if(mig_ui_clk_sync_rst) beginmig_s_axi_araddr  <= 0;mig_s_axi_arlen   <= 0;mig_s_axi_arvalid <= 0;mig_s_axi_awaddr  <= 0;mig_s_axi_awlen   <= 0;mig_s_axi_awvalid <= 0;mig_s_axi_wdata  <= 0;mig_s_axi_wstrb  <= 0;mig_s_axi_wlast  <= 0;mig_s_axi_wvalid <= 0;wdata <= 0;step  <= 0;endelse begincase (step)0: begin// 准备写地址mig_s_axi_awaddr  <= 0;mig_s_axi_awlen   <= 1 - 1;mig_s_axi_awvalid <= 1; // 写地址有效wdata <= wdata + 1;step <= step + 1;end1: begin// 待地址写入成功if (mig_s_axi_awready) beginmig_s_axi_awvalid <= 0; // 写地址无效// 准备写数据mig_s_axi_wdata  <= wdata;mig_s_axi_wstrb  <= {256{1'b1}};mig_s_axi_wlast  <= 1;mig_s_axi_wvalid <= 1; // 写数据有效step <= step + 1;endend2: begin// 待数据写入成功// 只写了一次可以直接判断if (mig_s_axi_wready) beginmig_s_axi_wlast  <= 0;mig_s_axi_wvalid <= 0; // 写数据无效step <= step + 1;endend3: begin// 待写响应有效if (mig_s_axi_bvalid) beginstep <= step + 1;endend// 至此,写入成功4: begin// 准备读地址mig_s_axi_araddr  <= 0;mig_s_axi_arlen   <= 1 - 1;mig_s_axi_arvalid <= 1; // 读地址有效step <= step + 1;end5: begin// 待地址写入成功if (mig_s_axi_arready) beginmig_s_axi_arvalid <= 0; // 读地址无效step <= step + 1;endend6: begin// 待数据接收if (mig_s_axi_rvalid) beginstep <= 0;endendendcaseend
endclk_wiz_0 clk_wiz_0_i (.clk_in1(clk),   // input clk_in1.resetn (rst_n), // input reset// user.clk_out1(mig_clk), // output clk_out1 200M
);ila_0 ila_0_i (.clk(mig_ui_clk), // input wire clk.probe0(step),           // input wire [3:0]  probe0  .probe1(mig_s_axi_rdata) // input wire [255:0]  probe1
);endmodule

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/180505.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【wp】2023鹏城杯初赛 Web web1(反序列化漏洞)

考点&#xff1a; 常规的PHP反序列化漏洞双写绕过waf 签到题 源码&#xff1a; <?php show_source(__FILE__); error_reporting(0); class Hacker{private $exp;private $cmd;public function __toString(){call_user_func(system, "cat /flag");} }class A {p…

Spring基础

文章目录 Spring基础IoC容器基础IoC理论第一个Spring程序Bean注册与配置依赖注入自动装配生命周期与继承工厂模式和工厂Bean注解开发 AOP面向切片配置实现AOP接口实现AOP注解实现AOP Spring基础 Spring是为了简化开发而生&#xff0c;它是轻量级的IoC和AOP的容器框架&#xff…

I/O多路转接之select

承接上文&#xff1a;I/O模型之非阻塞IO-CSDN博客 简介 select函数原型介绍使用 一个select简单的服务器的代码书写 select的缺点 初识select 系统提供select函数来实现多路复用输入/输出模型 select系统调用是用来让我们的程序监视多个文件描述符的状态变化的; 程序会停在s…

Vue3 实现 clipboard 复制功能

一个很小的交互功能&#xff0c;网上搜了一下有一个 vue3-clipboard 直接支持vue3&#xff0c;到github仓库看了下&#xff0c;原作者已经不维护这个项目了&#xff1a; 推荐使用 vueuse 自带的 useclipboard 功能&#xff0c;由 vue 团队维护&#xff0c;稳定性基本没问题 官…

数据结构构之顺序表

1.线性表 线性表&#xff08;linear list&#xff09;是n个具有相同特性的数据元素的有限序列。 线性表是一种在实际中广泛使用的数据结构&#xff0c;常见的线性表&#xff1a;顺序表、链表、栈、队列、字符串... 线性表在逻辑上是线性结构&#xff0c;也就说是连续的一条直线…

MySQL连接时出现Host ‘::1‘ is not allowed to connect to this MySQL server

报错原因 之前想着要提高一下连接速度&#xff0c;所以在my.ini中加入了&#xff1a;skip-name-resolve&#xff0c;当时的数据库root账号设置的登录权限是%&#xff0c;因此没有出现连接错误&#xff0c;这次因为是新建数据库&#xff0c;root账号的登录权限默认是localhost&…

园区网真实详细配置大全案例

实现要求&#xff1a; 1、只允许行政部电脑对全网telnet管理 2、所有dhcp都在核心 3、wifi用户只能上外网&#xff0c;不能访问局域网其它电脑 4、所有接入交换机上bpdu保护 5、只允许vlan 10-40上网 5、所有接入交换机开dhcp snoop 6、所有的交换机指定核心交换机为ntp时间服务…

解决Visual Studio Code 控制台中文乱码问题

C和CPP运行编码指定 "code-runner.executorMap": {"c": "cd $dir && gcc -fexec-charsetGBK $fileName -o $fileNameWithoutExt && $dir$fileNameWithoutExt","cpp": "cd $dir && g -fexec-charsetGBK $…

LV.12 D16 轮询与中断 学习笔记

一、CPU与硬件的交互方式 轮询 CPU执行程序时不断地询问硬件是否需要其服务&#xff0c;若需要则给予其服务&#xff0c;若不需要一段时间后再次询问&#xff0c;周而复始 中断 CPU执行程序时若硬件需要其服务&#xff0c;对应的硬件给CPU发送中断信号&#xff0c…

AD教程(六)现有元件模型的调用

AD教程&#xff08;六&#xff09;现有元件模型的调用 导入现有原理图 Altium Schematic Document (.SchDoc) 直接拖入AD即可 直接用现有原理图生成原理图库 点击设计&#xff0c;选择生成原理图库&#xff0c;进入归类设置界面&#xff08;用原理图直接生成原理图库&#xf…

如何实现多租户系统

shigen日更文章的博客写手&#xff0c;擅长Java、python、vue、shell等编程语言和各种应用程序、脚本的开发。记录成长&#xff0c;分享认知&#xff0c;留住感动。 不知道为什么&#xff0c;最近老是有一些失眠&#xff0c;凌晨睡&#xff0c;两点半还在醒着。脑子里想着自己生…

Linux flock和fcntl函数详解

文章目录 flock函数描述返回值和错误码笔记 fcntl函数描述复制文件描述符文件描述标志文件状态标志 咨询锁强制锁管理信号租赁文件和目录变更通知改变管道容量 返回值错误备注遗留问题 flock函数 主要功能是在已打开的文件应用或者删除共享锁或者独占锁。sys/file.h声明了这个…

使用Postman工具做接口测试 —— 环境变量与请求参数格式!

引言 在上一篇笔记我们主要介绍了接口测试的基础知识与基本功能&#xff0c;本章主要介绍如何使用postman做接口测试。 配置环境变量和全局变量 环境变量和全局变量 环境管理中还可以点击“Global”添加全局变量&#xff0c;环境变量只有当选择了该环境时才生效&#xff0c;…

centos9 stream 下 rabbitmq高可用集群搭建及使用

RabbitMQ是一种常用的消息队列系统&#xff0c;可以快速搭建一个高可用的集群环境&#xff0c;以提高系统的弹性和可靠性。下面是搭建RabbitMQ集群的步骤&#xff1a; 基于centos9 stream系统 1. 安装Erlang和RabbitMQ 首先需要在所有节点上安装Erlang和RabbitMQ。建议使用官…

VueJs各个版本— 判断当前是开发、生产环境

VueJs各个版本— 判断当前是开发、生产环境 文章目录 VueJs各个版本— 判断当前是开发、生产环境vue项目分类VueCLI21&#xff0c;判断样例2&#xff0c;判断原理 Vue CLI 3 和 Vue CLI 41&#xff0c;判断样例2, 判断原理手动设置-json文件手动设置- .env 文件单个 .env 文件多…

SpringBoot-SpringCache缓存

文章目录 Spring Cache 介绍常用注解 Spring Cache 介绍 Spring Cache 是一个框架&#xff0c;实现了基于注解的缓存功能&#xff0c;只需要简单地加一个注解&#xff0c;就能实现缓存功能。 Spring Cache 提供了一层抽象&#xff0c;底层可以切换不同的缓存实现&#xff0c;…

shell脚本代码混淆

文章目录 起因安装 Bashfuscator安装BashfuscatorBashfuscator的使用 起因 很多时候我并不希望自己的shell脚本被别人看到&#xff0c;于是我在想有没有什么玩意可以把代码加密而又正常执行&#xff0c;于是我想到了代码混淆&#xff0c;简单来看一下&#xff1a; 现在我的目…

JavaEE平台技术——预备知识(Maven、Docker)

JavaEE平台技术——预备知识&#xff08;Maven、Docker&#xff09; 1. Maven2. Docker 在观看这个之前&#xff0c;大家请查阅前序内容。 &#x1f600;JavaEE的渊源 &#x1f600;&#x1f600;JavaEE平台技术——预备知识&#xff08;Web、Sevlet、Tomcat&#xff09; 1. M…

Git 内容学习

一、Git 的理解 Git是一个分布式版本控制系统&#xff08;Distributed Version Control System&#xff0c;简称 DVCS&#xff09;&#xff0c;用于对项目源代码进行管理和跟踪变更。分为两种类型的仓库&#xff1a;本地仓库和远程仓库。 二、Git 的工作流程 详解如下&#x…

高性能渲染——详解Html Canvas的优势与性能

本文由葡萄城技术团队原创并首发。转载请注明出处&#xff1a;葡萄城官网&#xff0c;葡萄城为开发者提供专业的开发工具、解决方案和服务&#xff0c;赋能开发者。 一、什么是Canvas 想必学习前端的同学们对Canvas 都不陌生&#xff0c;它是 HTML5 新增的“画布”元素&#x…