Multisim电路仿真软件使用教程

安装直接参考这篇文章:Multisim 14.0安装教程

软件管家公众号里有很多软件,需要的可以去找下然后安装,这里用的是14.0版本。

这里有个大神的详细教程,可以参考:

Multisim软件使用详细入门教程(图文全解)-CSDN博客

全局设置 

设置电路图图纸的尺寸:

新建和保存

和其他软件一样,File里有新建,或者快捷键Ctrl+N,可以新建一个电路仿真文件:

新建后,左侧文件界面会出现对应的文件名Design1、Design2、Design3……

一般打开后就有个默认的Design1。

此时,就可以在对应的电路文件中绘制电路图了。

新建后,文件还没有保存,需要手动保存到一个路径,从File操作或者Ctrl+S

文件后缀名.ms14

认识界面

菜单栏自行查看。

工具栏就是某个工具窗口是不是显示,点一下就知道了。

元器件栏和仪器仪表栏是重点。绿色箭头是仿真。

元器件

看图标就能看出个大概。

从左到右依次为:

电源、基本器件(电阻电容电感等)、二极管、三极管、运放、TTL电平、COMS电平、数字芯片?、模拟芯片?、指示器件、电源、杂项、高级外设、RF、电机、NI芯片、接口、MCU、未知、导线

这些元器件图标点开,界面都是一样的,就是选型界面。

以基本器件为例点开:

这个界面的含义很明显。

左侧:

哪个元件库?哪个分组(其实就是刚才的那些元器件分类)?库里的哪类器件?

中间:

具体选择哪个型号的器件?有些型号前面一样,就后缀不一样,表示精度封装等参数有所差别。

比如:

右侧:

元器件符号、确定、关闭当前界面、元件细节参数、功能描述、厂商/模型ID、引脚厂商/类型;

搜索

如果知道需要的器件的型号,可以直接搜索
比如搜索叫做741的元器件


显示的搜索结果

接下来介绍一些常用的元器件

基本器件

对于下方这样的电路符号,是由器件电路符号+标识符名称+参数组成的

当单击器件符号时,会整体选中,当单独点击标识符或者参数时,会单独选中;

双击三者均可弹出对应的设置界面。

元器件-开关

选择SPST(单刀单掷开关)

鼠标单击开关上方红色箭头指向处,可实现开关的开闭;

下方的Key = Space,是说开关开闭的快捷键是Space,双击快捷键的提示英文,弹出设置窗口可更改触发快捷键

元器件-电解电容

电解电容是具有“极性”的电容。使用的时候,电解电容的正极应与电源的“+”极相连, 负极应与电源的“-”极相连。

元器件-电位器

通过调节电位器,可以改变滑动端和两个固定端之间的电阻。

调节电位器-第一种方法

将鼠标悬停在电位器上,会出现下图所示的滑杆。用鼠标拖动滑杆,便可改变电位器滑动端与两个固定端之间的电阻阻值。

调节电位器-第二种方法

如果电位器的图标中出现“Key=A”,意味着按动“A”键就可以按照固定的增量增加滑动端与下固定端之间的电阻阻值占总阻值的百分比;而按动“A”键+Shift键就可以减小这个百分比。

调节电位器-修改调节精度

左双击电位器图标,在弹出的“Potentiometer”窗口中点击“Value”选项卡,在“Increment:”后填写新的调节精度,最后点击“OK”。

电位器-修改快捷键

左双击电位器图标,在弹出的“Potentiometer”窗口中点击“Value”选项卡,在“Key:”后面的下拉菜单中选择快捷键,最后点击“OK”。

修改电阻、电位器的阻值

左双击元器件的图标,在弹出的窗口中点击“Value”选项卡,在“Resistance(R):”后填写新的阻值,最后点击“OK”。

修改电容、电解电容的电容量

左双击元器件的图标,在弹出的窗口中点击“Value”选项卡,在“ Capacitance(C) :”后填写新的电容量,最后点击“OK”。

二极管

晶体管

NPN和PNP

运放

集成运算放大器简称运放,是一种高增益、高输入阻抗、低输出阻抗的直接耦合放大器。

点击“Place Analog”(放置模拟元器件)

在弹出的“Select a Component”窗口中,点击“OPAMP”,并选择恰当的器件(这里我们以LM324AD为例),最后点击“OK”。

运放图标下面的ABCD表示该运放内部是由4个运放构成。

此时“Select a Component”窗口关闭。由于LM324AD由4个集成运放构成(分别用字母A、B、C和D来表示),此时屏幕上会出现左下图所示的选择窗口。点击A,B,C或D,选择LM324AD中的一个运放。

集成运放选择窗口再次跳出。点击“U1”后面的字母,可以选择在电路图图纸上放置标志符为“U1”的LM324AD中的其它集成运放。若点击“New”后面的字母,则可放置新的LM324AD中的集成运放。如果不需要放置更多的集成运放,点击“Cancel”关闭窗口。

直流电压源

点击“Place Source”(放置电源)

选择“POWER_SOURCES”,点击“DC_POWER”,最后点击“OK”

直流电压源-修改电压值

左双击直电压源图标,在弹出的“DC_POWER”窗口中点击“Value”选项卡,在“Voltage(V):”后填写新的电压值,最后点击“OK”

简化电路的电源标识

交流电压源

点击“Place Source”(放置电源)

选择“SIGNAL_VOLTAGE_SOURCES”,点击“AC_VOLTAGE”,最后点击“OK”。

左双击交流电压源图标,在弹出的“AC_VOLTAGE”窗口中点击“Value”选项卡,在“Voltage(Pk):”后填写新的电压幅值,在“Frequency(F):”后填写新的频率,最后点击“OK”

接地

点击“Place Source”(放置电源)

选择“POWER_SOURCES”,点击“GROUND”,最后点击“OK”。

基本操作

放置

点击元器件图标;

选择恰当的器件和参数后点击OK

此时“Select a Component”窗口关闭,左单击鼠标将器件图标放置在电路图图纸的恰当位置上;

放完后,“Select a Component”窗口会再次弹出,可以再次选择更多元器件并放置,如果不需要放置更多的元器件,则Close关闭弹出的窗口;

翻转和旋转

元器件上右键弹出菜单

可以看到翻转和旋转

修改标志符

左键双击元器件图标,在弹出的窗口中点击“Label”选项卡,并在“RefDes:”下填写新的标志符,最后点击“OK”

双击元器件或者符号图标,都能打开相应的窗口来修改参数。

删除元器件

选中元器件,再按“Delete”键即可。

器件的连接

将鼠标指针悬停在第一个元器件的引脚上,单击鼠标左键并拖动光标,在导线需要拐弯处单击鼠标,则该点被固定下来,导线可以在该点处转折,到达终点引脚时,单击左键完成连接。

删除导线

左单击导线,再按“Delete”键即可。

改变导线颜色

导线上右键,点击Segment  color

注意:

示波器中的信号线颜色和连接到示波器正极的导线颜色是一致的。

仪器仪表

万用表、函数发生器、双踪示波器等。

上面四个分别为:万用表、信号发生器、功率表、示波器;

万用表

点击菜单栏中的“Simulate” “Instruments” “Multimeter”

或者直接点击仪器仪表栏中的“Multimeter”按钮

两个入口均可,后续不再强调。

放好后,双击可弹出设置界面

万用表参数设置窗口

A:测量电流

V:测量电压

Ω:测量电阻

dB:测量分贝值

~:测量交流(测量值为交流有效值)

--:测量直流

函数信号发生器

双击图标打开函数发生器设置窗口

“Waveforms”项中的三个按钮用于选择输出电压的波形,从左到右分别为正弦波、三角波和方波

“Signal options”项中

Frequency:设置输出电压的频率

Duty cycle:设置方波和三角波的占空比

Amplitude:设置输出电压的幅度

Offset:设置输出电压的偏置值,即输出电压中的直流成分的大小。

“Set rise/Fall Time”按钮用来设置方波的上升和下降时间。单击该按钮,将出现下图所示的窗口。

在该窗口中设置上升和下降时间之后点击“OK”按钮完成设置。点击“Default”按钮则恢复默认设置。点击“Cancel”按钮将取消设置。

双踪示波器

示波器的面板

“Timebase”项

Scale:设置X轴方向每格代表的时间。

Y/T按钮:X轴显示时间刻度,Y轴显示电压信号的幅度

“Channel A”项和“Channel B”项

Scale:设置Y轴方向每格代表的电压数值

输入方式

AC按钮:只显示信号的交流部分

0按钮:代表输入信号与地短接。

DC按钮:将显示信号的交、直流分量叠加后的结果

光标及数据区

要显示波形读数的精确值时,可用鼠标将垂直光标拖到需要读取数据的位置

注意

用万用表和双踪示波器观察仿真结果时,将仿真方式选为“Interactive Simulation”

点击菜单栏中的“Simulate” “Analyses and simulation”

在弹出的窗口中的“Active Analysis”列表框中选择“Interactive Simulation”

注意

示波器中的信号线颜色和连接到示波器正极的导线颜色是一致的。

所以,如果想改变波形显示的颜色,可以暂停仿真后,先改变连线的颜色,再开始仿真。

仿真

图标功能分别为:仿真、暂停、停止;

快捷操作

滚轮缩放画布,按住滚轮移动画布;

可以进行CV复制操作,参数和原来的一样,但是编号会递增;

旋转快捷键Ctrl+R;

补充

打开自带模板

Multisim自带了一些电路模版,可以在File菜单中Open samples

可以选择一个模板来打开

显示器件引脚名称

比如运放,默认不显示引脚名称

这时候我们不知道这几个引脚是干嘛的,不方便接电路,怎么办呢?

双击器件图标打开设置界面

按照下面红框进行勾选:

可以看到,图标显示了引脚名称:

更多

红色连线表示该导线有电气特性。 

如果导线是交叉连接的,会有红点表示,如果没有点表示,那就不是相连的。

如下图:

应尽量避免不相连但交叉的情况,防止产生误解。

更多可参考这个视频:

Multisim电路仿真那些事儿【现阶段最牛教程】(更新至+8集)www.tlase.com_哔哩哔哩_bilibili

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/214343.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

DiffiT

本文首发于AIWalker,欢迎关注。 https://arxiv.org/abs/2312.02139 https://github.com/NVlabs/DiffiT 扩散模型以其强大的表达能力和高样本质量在许多领域得到了新的应用。对于样本生成,这些模型依赖于通过迭代去噪生成图像的去噪神经网络。然而&#x…

es6 相关面试总结

1、es6 是什么 新一代的js 语言标准,对其核心做了升级优化,更加适合大型应用开发。 2、箭头函数优缺点 优点: 1.代码优化 2.this 指向不会变动,永远指向其父元素 缺点: 1.没有arguments 参数 2.不能通过 appl…

2023年11月10日 Go生态洞察:十四年Go的成长之路

🌷🍁 博主猫头虎(🐅🐾)带您 Go to New World✨🍁 🦄 博客首页——🐅🐾猫头虎的博客🎐 🐳 《面试题大全专栏》 🦕 文章图文…

[山东大学操作系统课程设计]实验四+实验五

0.写在前面: 为什么这次把两个实验放在一起写了,因为实验五的要求就是在实验四的基础上完成实现的。但是我得实现说明,我的实验四虽然完成了要求,但是无法在我自己的实验四的基础上完成实验五,这是一个很大的问题&…

免费的SEO外链发布工具,提升排名的利器

互联网已经成为信息传播和商业发展的重要平台。而对于拥有网站的个人、企业来说,如何让自己的网站在搜索引擎中脱颖而出?SEO(Search Engine Optimization)作为提高网站在搜索引擎中排名的关键手段. 什么是SEO外链? S…

class064 Dijkstra算法、分层图最短路【算法】

class064 Dijkstra算法、分层图最短路【算法】 算法讲解064【必备】Dijkstra算法、分层图最短路 code1 743. 网络延迟时间 // Dijkstra算法模版(Leetcode) // 网络延迟时间 // 有 n 个网络节点,标记为 1 到 n // 给你一个列表 times&…

Linux(centos)学习笔记(初学)

[rootlocalhost~]#:[用户名主机名 当前所在目录]#超级管理员标识 $普通用户的标识 Ctrlshift放大终端字体 Ctrl缩小终端字体 Tab可以补全命令 Ctrlshiftc/V复制粘贴 / :根目录,Linux系统起点 ls: #list列出目录的内容,通常用户查看…

Word插件-好用的插件-一键设置字体--大珩助手

常用字体 整理了论文、公文常用字体 整理了常用的论文字体,可一键设置当前节或选择的文字的字体 字体设置 包含字体选择、字体颜色 特殊格式 包含首字下沉、段落分栏、统一宽度、双行合一、上标切换、下标切换、转为全角、转为半角、挖词填空、当前日期、大写金…

思科最新版Cisco Packet Tracer 8.2.1安装

思科最新版Cisco Packet Tracer 8.2.1安装 一. 注册并登录CISCO账号二. 下载 Cisco Packet Tracer 8.2.1三. 安装四. 汉化五. cisco packet tracer教学文档六. 正常使用图 前言 这是我在这个网站整理的笔记,有错误的地方请指出,关注我,接下来还会持续更新…

uniApp项目的创建,运行到小程序

一、项目创建 1. 打开 HBuilder X 2. 右击侧边栏点击新建,选择项目 3. 填写项目名,点击创建即可 注:uniapp中如果使用生命周期钩子函数,建议使用哪种 ?(建议使用Vue的) 二、运行 1. 运行前先登录 2. 登录后点击 manifest.js…

YOLOv8 目标过线计数

使用 Ultralytics YOLOv8 进行目标计数 🚀 实际应用场景 物流水产养殖使用 Ultralytics YOLOv8 进行传送带包裹计数使用 Ultralytics YOLOv8 在海中进行鱼类计数请使用最新代码(2023年12月8日后),旧版本不支持! 示例 “目标计数示例” 目标计数 from ultralytics

公有云迁移研究——AWS Route53

大纲 1 什么是Route 532 Route 53能做些什么# 3 通过DNS托管来实现分流3.1 创建DNS托管3.2 对托管创建记录对流量进行分配 4 通过流量策略来对流量进行分流4.1 创建流量策略 5 对比两者的区别6 推荐 在给客户从本地机房往AWS迁移的过程中,我们接到如下需求&#xff…

SpringBoot 项目 Jar 包加密,防止反编译

1场景 最近项目要求部署到其他公司的服务器上,但是又不想将源码泄露出去。要求对正式环境的启动包进行安全性处理,防止客户直接通过反编译工具将代码反编译出来。 2方案 第一种方案使用代码混淆 采用proguard-maven-plugin插件 在单模块中此方案还算简…

[香橙派]orange pi zero 3 烧录Ubuntu系统镜像——无需HDMI数据线安装

一、前言 本文我们将介绍如何使用orange pi zero 3 安装Ubuntu系统,本文相关步骤均参考自开发手册。 二、实施准备 根据开发手册中所提到的,我们应该拥有如下配件: 1.orange pi zero 3 开发板 2.TF 卡——最小 8GB 容量的 class10 级或以上的高速闪迪卡。…

错题总结(四)

1.【一维数组】输入10个整数&#xff0c;求平均值 编写一个程序&#xff0c;从用户输入中读取10个整数并存储在一个数组中。然后&#xff0c;计算并输出这些整数的平均值。 int main() {int arr[10];int sum 0;for (int n 0; n < 10; n){scanf("%d", &arr…

58.Nacos源码分析2

三、服务心跳。 3.服务心跳 Nacos的实例分为临时实例和永久实例两种&#xff0c;可以通过在yaml 文件配置&#xff1a; spring:application:name: order-servicecloud:nacos:discovery:ephemeral: false # 设置实例为永久实例。true&#xff1a;临时; false&#xff1a;永久ser…

Nginx负载均衡实战

&#x1f3b5;负载均衡组件 ngx_http_upstream_module https://nginx.org/en/docs/http/ngx_http_upstream_module.html upstream模块允许Nginx定义一组或多组节点服务器组&#xff0c;使用时可以通过多种方式去定义服务器组 样例&#xff1a; upstream backend {server back…

Python开源项目周排行 2023年 第39周

Python 趋势周报&#xff0c;按周浏览往期 GitHub,Gitee 等最热门的Python开源项目&#xff0c;入选的项目主要参考GitHub Trending,部分参考了Gitee和其他。排名不分先后&#xff0c;都是当周相对热门的项目。 入选公式&#xff1d;70%GitHub Trending20%Gitee10%其他 关注微…

C# WPF上位机开发(动态库dll的开发)

【 声明&#xff1a;版权所有&#xff0c;欢迎转载&#xff0c;请勿用于商业用途。 联系信箱&#xff1a;feixiaoxing 163.com】 很多时候&#xff0c;我们并不希望所有的程序都放到一个exe里面。因为这样相当于把所有的风险都放在了一个文件里里面&#xff0c;既不利于程序的升…

[CTFshow 红包挑战] 刷题记录

文章目录 红包挑战7红包挑战8红包挑战9 红包挑战7 考点&#xff1a;xdebug拓展 源码 <?php highlight_file(__FILE__); error_reporting(2);extract($_GET); ini_set($name,$value);system("ls ".filter($_GET[1])."" );function filter($cmd){$cmd s…