关于MIPS上手应知应会-如何把C语言改写为MIPS!

文章目录

  • 寄存器
  • 指令
  • 使用技巧
    • 翻译C/C++
      • if/else语句
      • switch语句
      • for循环
      • while 循环
      • do...while循环
      • 一维数组定义与使用
      • 二维数组定义与使用
      • 例 :哈密顿回路
  • 注意
    • 立即数被符号位扩展
  • 参考链接

寄存器

NameReg. NumUsage
z e r o zero zero0constant value =0(恒为0)
a t at at1reserved for assembler(为汇编程序保留)
v 0 – v 1 v0 – v1 v0–v12 – 3values for results(过程调用返回值)
a 0 – a 3 a0 – a3 a0–a34 – 7Arguments(过程调用参数)
t 0 – t 7 t0 – t7 t0–t78 – 15Temporaries(临时变量)
s 0 – s 7 s0 – s7 s0–s716 – 23Saved(保存)
t 8 – t 9 t8 – t9 t8–t924 – 25more temporaries(其他临时变量)
k 0 – k 1 k0 – k1 k0–k126 – 27reserved for kernel(为OS保留)
g p gp gp28global pointer(全局指针)
s p sp sp29stack pointer (栈指针)
f p fp fp30frame pointer (帧指针)
r a ra ra31return address (过程调用返回地址)

指令

第一页

第二页

使用技巧

翻译C/C++

if/else语句

if(a >= b) //Do something...
else if(a < c+b) //Do something...
else //Do something...
# $s0 = a, $s1 = b, $s2 = c
if_begin:
bgt $s1, $s0, if_else1# Do something
if_else1:
add $t0, $s1, $s2
bngt $t0, $s0, if_else2# Do something
if_else2:# Do something
if_end:

switch语句

switch(a) {case 2:// Do somethingbreak;case 4:// Do somethingbreak;default:// Do something
}
# $s0 = a
switch_begin:case_2:bne $s0, 2, case_4# Do somethingj switch_endcase_4:bne $s0, 4, default# Do somethingj switch_enddefault:# Do something
switch_end:

for循环

for(int i = 0; i < n; i++) // Do something
# $s0 = n
li $t0, 0
for_begin:
bne $t0, $s0, end_for # Do something
addi $t0, $t0, 1
j for_begin
end_for:

while 循环

int i = a;
while(i < n) {// Do something...i++;
}
# $s0 = n, $s1 = a, $t0 = i
move $t0, $s1
while_begin:
bne $t0, $s0, end_while# Do somethingaddi $t0, $t0, 1
j while_begin
end_while:

do…while循环

do {i++;//Do something
} while(i < n);
# $t0 = i, $s0 = n
li $t0, 1
dowhile:addi $t0, $t0, 1# Do something
beq $s0, $t0, end_dowhile
j dowhile
end_dowhile:

一维数组定义与使用

int arr[100];
for(int i = 0; i < 100; i++) arr[i] = i;
.dataarr: .space 400				#长度100的int型数组,总共使用400字节
.text# $t0 = ili $t0, 0;for_begin:beq $t0, 100, end_formove $t1, $t0sll $t1, $t1, 2			# i*4得到偏移的字节数,MIPS按照字节寻址,地址从x00000000, 0x00000004...以此类推# 此外MIPS还是小端地址,如果输入0x12345678,那么0x00000002存的是0x56sw $t0, arr($t1)		# 这跟直接访问还挺像的# 实际上,arr是指一系列空间的首地址,加上偏移量$t1,得到arr[i]的地址addiu $t0, $t0, 1j for_beginend_for:li $v0, 10						# 类似于C/C++中的return 0
syscall

二维数组定义与使用

#include <iostream>
using namespace std;
int arr[64][64];int main() {int m, n;cin >> n >> m;for(int i = 0; i < n; i++)for(int j = 0; j < m; j++) cin >> arr[i][j];for(int i = n-1; i >= 0; i--)for(int j = m-1; j >= 0; j--) cout << i << ' ' << j << ' ' << arr[i][j] << endl; return 0;
}
.dataarr: .space 16384# 下面两个宏定义与数组大小密切相关,64*64大小的数组是这么做的
# 我们约定$t7, $t8, $t9只在宏定义中使用
.macro setarr(%d, %i, %j)		# 把arr[i][j]设置为dsll $t8, $t8, 6add $t9, $t8, %jsll $t9, $t9, 2sw %d, arr($t9)
.end_macro
.macro getarr(%d, %i, %j)		# 把d赋值为arr[i][j]sll $t8, $t8, 6add $t9, $t8, %jsll $t9, $t9, 2lw %d, arr($t9)
.end_macro.text# $s0 = n, $s1 = mli $v0, 1syscallmove $s0, $v0li $v0, 1syscallmove $s0, $v0# $t0 = i, $t1 = jli $t0, 0li $t1, 0for_in_i:beq $t0, $s0, end_for_in_ifor_in_j:beq $t1, $s1, end_for_in_jli $v0, 1syscallsetarr($v0, $t0, $t1)addi $t1, $t1, 1j for_in_jend_for_in_j:addi $t0, $t0, 1j for_in_iend_for_in_i:# $t0 = i, $t1 = jsubi $t0, $s0, 1 subi $t1, $s1, 1for_out_i:blt $t0, 0, end_for_out_ifor_out_j:blt $t1, 0, end_for_out_jgetarr($t3, $t0, $t1)# 输出$t0, $t1, $t2这里省略了subi $t1, $t1, 1j for_out_jend_for_out_j:subi $t0, $t0, 1j for_out_iend_for_out_j:li $v0, 10						# 类似于C/C++中的return 0
syscall

例 :哈密顿回路

#include <iostream>
using namespace std;const int MAXN = 10;int G[MAXN][MAXN];
bool vis[MAXN];
int n, m;
int ans;int dfs(int i, int start) {bool flag = false;vis[i] = true;cout << i;for(int j = 1; j <= n; j++) if(!vis[j] && G[i][j]) {dfs(j, start);}for(int j = 1; j <= n; j++) if(!vis[j]) {flag = true;break;}if(G[i][start] && !flag) ans = 1;vis[i] = false;return 0;
}int main() {cin >> n >> m;for(int i = 0; i < m; i++) {int u, v;scanf("%d%d", &u, &v);G[u][v] = G[v][u] = 1;}for(int i = 1; i <= n; i++) {dfs(i, i);if(ans == 1) {cout << 1 << endl;return 0;}}cout << 0 << endl;return 0;
}
.datagraph: .space 1024vis: .space 512endl: .word '\n'.macro setGraph(%data, %i, %j)sll $t8, %i, 4add $t8, $t8, %jsll $t8, $t8, 2sw %data, graph($t8)
.end_macro
.macro getGraph(%ans, %i, %j)sll $t8, %i, 4add $t8, $t8, %jsll $t8, $t8, 2lw %ans, graph($t8)
.end_macro.text
main:# $s0 = nli $v0, 5syscallmove $s0, $v0# $s1 = mli $v0, 5syscallmove $s1, $v0move $t0, $zerofor_input:# for(i = 0; i != m; i++)beq $t0, $s1, end_for_inputli $v0, 5syscallmove $t1, $v0li $v0, 5syscallmove $t2, $v0li $t3, 1setGraph($t3, $t1, $t2)setGraph($t3, $t2, $t1)addi $t0, $t0, 1j for_inputend_for_input:li $t0, 1for_iter:# for(i = 1; i <= n; i++)bgt $t0, $s0, end_for_itermove $a0, $t0	# $a0 = pmove $a1, $t0	# $a1 = startjal dfsbeq $s3, 1, print_ansaddi $t0, $t0, 1j for_iterend_for_iter:print_ans:	li $v0, 1move $a0, $s3syscall
li $v0, 10
syscalldfs:	# $a0 = p, $a1 = start
addi $sp, $sp, -24
sw $ra, 20($sp)
sw $t0, 16($sp)
sw $t1, 12($sp)
sw $t2, 8($sp)
sw $t3, 4($sp)
sw $t4, 0($sp)sll $t0, $a0, 2li $t1, 1sw $t1, vis($t0)li $t0, 1for_nextpoint:# for(i = 1; i <= n; i++) if(graph[p][i] == 1 && !vis[i])bgt $t0, $s0, end_for_nextpointgetGraph($t1, $a0, $t0)bne $t1, 1, nextpoint_continuesll $t2, $t0, 2lw $t3, vis($t2)bne $t3, $zero, nextpoint_continuemove $t4, $a0move $a0, $t0jal dfsmove $a0, $t4nextpoint_continue:addi $t0, $t0, 1j for_nextpointend_for_nextpoint:li $t0, 1	for_check:bgt $t0, $s0, end_for_checksll $t1, $t0, 2lw $t2, vis($t1)beq $t2, $zero, end_functionaddi $t0, $t0, 1j for_checkend_for_check:getGraph($t0, $a0, $a1)bne $t0, 1, end_functionli $s3, 1end_function:sll $t0, $a0, 2sw $zero, vis($t0)
lw $ra, 20($sp)
lw $t0, 16($sp)
lw $t1, 12($sp)
lw $t2, 8($sp)
lw $t3, 4($sp)
lw $t4, 0($sp)
addi $sp, $sp, 24
jr $ra

注意

立即数被符号位扩展

  • 算术指令 :add addi sub 总是将立即数做符号位扩展即便指令是无符号的;
    乘 、 除 指令 任何情况下都不进行扩展,总是当成 unsigned
  • 逻辑指令:(andi, ori通常处理无符号数)不对立即 数做符号位扩展
  • load / store指令: 地址计算时总是扩展立即数

参考链接

  1. 谈一谈 MIPS 汇编 Challenge 题:找哈密顿回路:(https://flyinglandlord.github.io/2021/09/30/BUAA-CO-2021/Pre/%E8%B0%88%E4%B8%80%E8%B0%88MIPS%E6%B1%87%E7%BC%96Challenge%E9%A2%98/)

  2. 流水线 MIPS 处理器的设计:https://zobinhuang.github.io/sec_learning/Tech_Computer_Architerture/Architecture_6_MIPS_Pipeline/#1_intro_1

  3. 《初学计算机组成原理之MIPS指令集及汇编》: https://blog.csdn.net/weixin_51599896/article/details/123865620

  4. MIPS指令详解:https://blog.csdn.net/weixin_46308081/article/details/115798605

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/230060.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

学习使用wps将ppt的页面保存为图片的方法

学习使用wps将ppt的页面保存为图片的方法 方案 方案 1、打开ppt&#xff0c;点击文件&#xff0c;另存为&#xff0c;选择文件类型为图片格式&#xff0c;jpg或者png&#xff0c;如下图&#xff1a; 2、点击每张幻灯片

2023启示录丨自动驾驶这一年

图片&#xff5c;《老人与海》插图 过去的20年&#xff0c;都没有2023年如此动荡。 大模型犹如一颗原子弹投入科技圈&#xff0c;卷起万里尘沙&#xff0c;传统模式瞬间被夷为平地&#xff0c;在耀眼的白光和巨大的轰鸣声之下&#xff0c;大公司、创业者、投资人甚至是每一位观…

大语言模型LLM微调技术:P-Tuning

1 引言 Bert时代&#xff0c;我们常做预训练模型微调&#xff08;Fine-tuning&#xff09;&#xff0c;即根据不同下游任务&#xff0c;引入各种辅助任务loss和垂直领域数据&#xff0c;将其添加到预训练模型中&#xff0c;以便让模型更加适配下游任务的方式。每个下游任务都存…

四、HTML 属性

属性是 HTML 元素提供的附加信息。 一、HTML 属性 HTML 元素可以设置属性属性可以在元素中添加附加信息属性一般描述于开始标签属性总是以名称/值对的形式出现&#xff0c;比如&#xff1a;name"value"。 二、 属性实例 HTML 链接由 <a> 标签定义。链接的地…

python设计模式:模板方法模式

更多Python学习内容&#xff1a;ipengtao.com 软件设计和编程中&#xff0c;设计模式是一种有助于解决常见问题的强大工具。其中之一是"模板方法模式"&#xff0c;它是一种行为型设计模式&#xff0c;允许你定义一个算法的骨架&#xff0c;但将一些步骤的具体实现延迟…

声明式管理方(yaml)文件

声明式管理方(yaml)文件: 1、适合对资源的修改操作 2、声明式管理依赖于yaml文件&#xff0c;所有的内容都在yaml文件当中。 3、编辑好的yaml文件需要依靠陈述是还是要依靠陈述式的命令发布到k8s集群当中 create只能创建&#xff0c;不能更新。从指定yaml文件中读取配置&#…

静态代理、JDK动态代理、CGLIB动态代理以及JDK和CGLIB动态代理的区别

代理 什么是代理&#xff1f;两个设计原则三要素 静态代理静态代理的实现定义接口-定义行为静态代理 -> 目标角色静态代理-> 代理角色静态代理测试 特点 JDK动态代理newProxyInstance获取代理对象通过代理对象实现目标对象的功能特点 Java动态代理类中的invoke是怎么调用…

OpenAI GPT 模型 API 接口新增参数 top_logprobs 和 logprobs

文章目录 一、前言二、主要内容三、总结 &#x1f349; CSDN 叶庭云&#xff1a;https://yetingyun.blog.csdn.net/ 一、前言 在最新的 OpenAI 官方 APIs 文档中&#xff0c;Create chat completion 中新增了 top_logprobs 和 logprobs 这两个关键参数。 官方文档地址&#xff…

06.02 customizing plots with style sheets

使用 style 来配置 pyplot 风格 import matplotlib.pyplot as plt import numpy as np%matplotlib inlinestyle 是 pyplot 的一个子模块&#xff0c;方便进行风格转换&#xff0c; pyplot 有很多的预设风格&#xff0c;可以使用 plt.style.available 来查看&#xff1a; plt.…

GO语言笔记1-安装与hello world

SDK开发工具包下载 Go语言官网地址&#xff1a;golang.org&#xff0c;无法访问Golang中文社区&#xff1a;首页 - Go语言中文网 - Golang中文社区下载地址&#xff1a;Go下载 - Go语言中文网 - Golang中文社区 尽量去下载稳定版本&#xff0c;根据使用系统下载压缩包格式的安装…

linux释放交换空间-Swap

确保剩余内存比swap内存空间大&#xff0c;再执行以下操作&#xff0c;否则会宕机&#xff01; 查看swap分区 swapon -s 会查看到你的swap分区位置 停止swap分区 停止swap分区是将swap内存释放到实际内存中 swapoff /dev/dm-1开启swap分区 swap分区内存成功释放到实际内…

【一文入门】Git常用命令集锦--分支操作和版本管理篇

前言 Git 是一种分布式版本控制系统&#xff0c;可以帮助团队协作开发、管理和维护代码&#xff0c;提高代码质量和效率&#xff0c;掌握常用版本管理命令可以帮助我们更好地管理代码变更和历史记录。下面我将介绍开发中常用的一些Git分支操作和版本管理命令 1 分支操作 1.1 …

diffusers 源码待理解之处

一、训练DreamBooth时&#xff0c;相关代码的细节小计 ** class_labels timesteps 时&#xff0c;模型的前向传播怎么走&#xff1f;待深入去看 ** 利用class_prompt去生成数据&#xff0c;而不是instance_prompt class DreamBoothDataset(Dataset):"""A dat…

CCNP课程实验-05-Comprehensive_Experiment

目录 实验条件网络拓朴 基础配置实现IGP需求&#xff1a;1. 根据拓扑所示&#xff0c;配置OSPF和EIGRP2. 在R3上增加一个网段&#xff1a;33.33.33.0/24 (用Loopback 1模拟) 宣告进EIGRP&#xff0c;并在R3上将EIGRP重分布进OSPF。要求重分布进OSPF后的路由Tag值设置为666&…

一款超酷的一体化网站测试工具:Web-Check

Web-Check 是一款功能强大的一体化工具&#xff0c;用于发现网站/主机的相关信息。用于检查网页的工具&#xff0c;用于确保网页的正确性和可访问性。它可以帮助开发人员和网站管理员检测网页中的错误和问题&#xff0c;并提供修复建议。 它只需要输入一个网站就可以查看一个网…

理解 RPC 与 Protobuf:完整指南

一、Protobuf 数据格式简析 Protobuf 是什么&#xff1f; 在数据密集型应用领域&#xff0c;Google 开发的 Protobuf 作为一种高效数据编码方式而广受欢迎。它胜任于 JSON 及 XML 对比&#xff0c;不仅在体积和速度上表现出色&#xff0c;而且其结构化方式优化了网络传输中的…

一文讲清数据资产入表实操

《中共中央 国务院关于构建数据基础制度更好发挥数据要素作用的意见》已发布一年&#xff0c;数据资产化和入表已成为2023年的热门话题&#xff0c;随着2023年底国家数据局吹风《"数据要素x"三年行动计划&#xff08;2024-2026年&#xff09;》即将发布&#xff0c;这…

Vue 中的 ref 与 reactive:让你的应用更具响应性(中)

&#x1f90d; 前端开发工程师&#xff08;主业&#xff09;、技术博主&#xff08;副业&#xff09;、已过CET6 &#x1f368; 阿珊和她的猫_CSDN个人主页 &#x1f560; 牛客高级专题作者、在牛客打造高质量专栏《前端面试必备》 &#x1f35a; 蓝桥云课签约作者、已在蓝桥云…

Linux 进程和计划任务管理

一 内核功用&#xff1a;进程管理、内存管理、文件系统、网络功能、驱动程序、安全功能等 1 程序 是一组计算机能识别和执行的指令&#xff0c;运行于电子计算机上&#xff0c;满足人们某种需求的信息化工具 用于描述进程要完成的功能&#xff0c;是控制进程执行的指令集 2…

三、C语言中的分支与循环—switch语句(4)分支结构 完

本章分支结构的学习内容如下&#xff1a; 三、C语言中的分支与循环—if语句 (1) 三、C语言中的分支与循环—关系操作符 (2) 三、C语言中的分支与循环—条件操作符 与逻辑操作符(3) 三、C语言中的分支与循环—switch语句&#xff08;4&#xff09;分支结构 完 本章循环结构的…