GT收发器64B66B协议(2)自定义PHY设计

文章目录

  • 前言
  • 一、设计框图
  • 二、GT_module
  • 三、PHY_module
    • 3.1、PHY_tx模块
    • 3.2、PHY_rx_bitsync模块
    • 3.3、PHY_rx模块
  • 四、上板测试
  • 总结

前言

有了对64B66B协议的认识以及我们之前设计8B10B自定义PHY的经验,本文开始对64B66B自定义PHY的设计

一、设计框图

在这里插入图片描述

二、GT_module

该模块整体设计与8B10B几乎一致,只有一些端口的区别;再就是将QPLL复位产生模块gtwizard_0_common_resetGT_channel模块放到了GT_module模块,但其实本质没有任何区别。

主要还是IP核配置变为64B66B之后输入输出的接口有一些变化,包括帧头信号,对齐信号以及发送队列计数器信号等。

同理,用户需要多个channel的时候只需要在GT_module模块当中例化多个GT_channel模块即可。

module GT_channel(input                   i_sysclk                    ,input                   i_gtrefclk                  ,input                   i_rx_rst                    ,input                   i_tx_rst                    ,output                  o_tx_done                   ,output                  o_rx_done                   ,input                   i_tx_polarity               ,input  [3 :0]           i_tx_diffctrl               ,input  [4 :0]           i_txpostcursor              ,input  [4 :0]           i_txpercursor               ,     input                   i_rx_polarity               ,input  [2 :0]           i_loopback                  ,input  [8 :0]           i_drpaddr                   , input                   i_drpclk                    ,input  [15:0]           i_drpdi                     , output [15:0]           o_drpdo                     , input                   i_drpen                     ,output                  o_drprdy                    , input                   i_drpwe                     ,input                   i_qplllock                  , input                   i_qpllrefclklost            , output                  o_qpllreset                 ,input                   i_qplloutclk                , input                   i_qplloutrefclk             , input                   i_data_valid                ,output                  o_rx_clk                    ,output [63:0]           o_rx_data                   ,output                  o_rx_valid                  ,output [1 :0]           o_rx_header                 ,output                  o_rx_header_valid           ,input                   i_rx_slipbit                ,output                  o_tx_clk                    ,input  [63:0]           i_tx_data                   ,input  [1 :0]           i_tx_header                 ,input  [6 :0]           i_tx_sequence               ,      output                  o_gt_tx_p                   ,output                  o_gt_tx_n                   ,input                   i_gt_rx_p                   ,input                   i_gt_rx_n                   
);

三、PHY_module

PHY层对数据进行组包和对齐的处理是难点所在
设计思路及代码思路参考了FPGA奇哥系列网课

3.1、PHY_tx模块

发送端工作流程:

  • 空闲时期拉高READY,用户数据进入FIFO,s_axis_last断言后拉低READY
  • FIFO不为空的时候从FIFO当中读出数据进行组帧
  • 当前数据帧发送完毕重新拉高READY
  • 大小端处理

发送数据时需要注意:每发送32个64bit数据之后用户需要暂停发送一拍数据,因为此时Gearbox需要将自己缓存的64bit数据吐出去。 从下图波形上看,sequence计数到31后,第32拍的用户数据是没办法被正常发送的,所以我们需要将该数据延迟到下一拍发送,所以图中的32和0时候的数据是一样的。
这个逻辑通过信号w_gt_send_valid 实现,达到30的时候拉低w_gt_send_valid ,这是因为还要考虑组帧时候的FIFO读潜伏期。

assign          w_gt_send_valid = ro_tx_sequence == 30 ? 0 : 1  ;

在这里插入图片描述
以上情况很好处理,但是如果刚刚好是在FIFO读使能拉高的时候w_gt_send_valid被拉低(下图所示),组帧逻辑就会混乱,主要是数据不会在ro_tx_sequence为32和0的时候保持不变,因此加入一个r_invalid用来处理这种情况,这里有点过于复杂了,还是需要多看看波形图进行分析,应该有比较简单的办法的。
不过思想是很简单的:就是保证在ro_tx_sequence == 32和ro_tx_sequence == 0的时候输出数据要连续保持,才能使得数据不会丢失。
在这里插入图片描述
最后一点就是大小端处理,我个人习惯处理数据按照大端处理,在最后发送的时候一次性转为小端模式。

assign o_tx_data = { ro_tx_data[7 : 0],ro_tx_data[15: 8],ro_tx_data[23:16],ro_tx_data[31:24],ro_tx_data[39:32],ro_tx_data[47:40],ro_tx_data[55:48],ro_tx_data[63:56]};

3.2、PHY_rx_bitsync模块

该模块实现接收端字节对齐的功能
整体思路很简单:下图为xilinx手册ug476当中对示例文件的介绍。
大致思维:

  • 在64B66B当中,只有2;b10和2’b01俩种帧头是有效的,所以我们只需要判断帧头是否是有效的,就可以判断当前字节是否对齐。
  • 当检测到错误帧头时,拉高一个slipbit脉冲信号,那么Gearbox会自动将对齐窗口向后滑动一个比特,注意:每次拉高slipbit脉冲信号的时候至少要隔32个RXUSRCLK2时钟周期
  • 为了防止误判,只有当连续收到64个正确头的时候,才会判定为当前成功对齐,随后释放PHY_rx的复位,进行正常数据接收。
  • 在xilinx文档当中的状态机还增加了重新复位接受模块的状态,就是判定对齐之后,当连续收到16个错误头后判断对齐出现了错误,重新进行对齐(本工程当中没有这个状态,出现一个错误头立马复位,然后重新进行同步)。

在这里插入图片描述
下图为仿真波形,第一条黄线之前是进行不断同步的过程,每次同步之间相隔32时钟周期,俩条黄线之间是发生了错误判断的情况,但在收到一个错误帧头后立马重新开始了同步,第二条黄线(有点看不清,可以看下面黄色框框位置)后是正常同步。
在这里插入图片描述

3.3、PHY_rx模块

接收端处理流程:

  • 先将GT输入数据进行大小端转换
  • 识别到SOF之后开始恢复数据
  • 识别EOF以及EOF位置
  • 根据EOF位置处理最后一拍数据以及KEEP信号

同样的,在接收数据的时候,每隔32时钟周期就有一个无效数据,进行VALID信号处理的时候需要考虑该情况。这里还有一点很重要,那就是识别到SOF后紧接着这个无效数据就来了,这种情况也是需要单独讨论的,接收端的r_invalid信号就是用来处理这种情况的。
在这里插入图片描述
主要代码:

always@(posedge i_rx_clk,posedge i_rx_rst)
beginif(i_rx_rst)rm_axis_data <= 'd0;else if(r_eof && (r_eof_local < 7 && r_eof_local > 0))rm_axis_data <= {ri_rx_data_1d[47:0],16'd0};  else if(w_eof && (w_eof_local == 0))rm_axis_data <= {ri_rx_data_1d[55:0],8'd0};else if(w_eof && (w_eof_local <= 7))rm_axis_data <= {ri_rx_data_1d[55:0],ri_rx_data[55:48]};  else if(r_receiving && ri_rx_valid)rm_axis_data <= {ri_rx_data_1d[55:0],ri_rx_data[63:56]};else rm_axis_data <= 'd0;
endalways@(posedge i_rx_clk,posedge i_rx_rst)
beginif(i_rx_rst)rm_axis_keep <= 8'b1111_1111;else if(r_eof && (r_eof_local < 7 && r_eof_local > 0))case(r_eof_local)1           :rm_axis_keep <= 8'b1111_1100;2           :rm_axis_keep <= 8'b1111_1000;3           :rm_axis_keep <= 8'b1111_0000;4           :rm_axis_keep <= 8'b1110_0000;5           :rm_axis_keep <= 8'b1100_0000;6           :rm_axis_keep <= 8'b1000_0000;           default     :rm_axis_keep <= 8'b1111_1111;endcaseelse if(w_eof && (w_eof_local == 0 || w_eof_local == 7))case(w_eof_local)0           :rm_axis_keep <= 8'b1111_1110;7           :rm_axis_keep <= 8'b1111_1111;            default     :rm_axis_keep <= 8'b1111_1111;endcaseelse rm_axis_keep <= 8'b1111_1111;
endalways@(posedge i_rx_clk,posedge i_rx_rst)
beginif(i_rx_rst)rm_axis_last <= 'd0;else if(rm_axis_last && rm_axis_valid)rm_axis_last <= 'd0;else if(rm_axis_valid && r_eof && (r_eof_local < 7 && r_eof_local > 0))rm_axis_last <= 'd1;else if(rm_axis_valid && w_eof && (w_eof_local == 7 || w_eof_local == 0))rm_axis_last <= 'd1;else rm_axis_last <= rm_axis_last;
endalways@(posedge i_rx_clk,posedge i_rx_rst)
beginif(i_rx_rst)rm_axis_valid <= 'd0;else if(r_sof)rm_axis_valid <= 'd1;else if(rm_axis_last && rm_axis_valid)rm_axis_valid <= 'd0;else if((!ri_rx_valid && ri_rx_header != 2'b10) || r_invalid)rm_axis_valid <= 'd0;else if(r_revalid)rm_axis_valid <= 'd1;else rm_axis_valid <= rm_axis_valid;
end

四、上板测试

暂时还没有加扰和解扰,所以直接光纤连接通信有点问题,这里是开启回环模式下上板测试结果,收发是正常的。
在这里插入图片描述

总结

完整工程参考:https://github.com/shun6-6/GT64B66B_PHY_design

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/304776.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Harmony鸿蒙南向驱动开发-UART

UART指异步收发传输器&#xff08;Universal Asynchronous Receiver/Transmitter&#xff09;&#xff0c;是通用串行数据总线&#xff0c;用于异步通信。该总线双向通信&#xff0c;可以实现全双工传输。 两个UART设备的连接示意图如下&#xff0c;UART与其他模块一般用2线&a…

数据结构初阶:栈和队列

栈 栈的概念及结构 栈&#xff1a;一种特殊的线性表&#xff0c;其只允许在固定的一端进行插入和删除元素操作。 进行数据插入和删除操作的一端 称为栈顶&#xff0c;另一端称为栈底。 栈中的数据元素遵守后进先出 LIFO &#xff08; Last In First Out &#xff09;的原则。…

为什么每个人都需要了解这些数据加密技术?

在数字时代&#xff0c;数据加密技术不仅对保护企业的商业秘密至关重要&#xff0c;也是个人隐私安全的重要屏障。随着技术的进步和网络犯罪的增加&#xff0c;数据加密已经成为了信息安全领域的一个热点议题。以下是探讨为什么每个人都需要了解这些数据加密技术的几个主要原因…

SpringBoot启动时banner设置

SpringBoot启动时banner设置 1.操作步骤2.各种banner图像 1.操作步骤 在application.properties文件中设置新的banner对于的文件位置&#xff0c;最好放在resources目录下 spring.banner.locationbanner.txt2.各种banner图像 &#xff08;1&#xff09;经典大佛图 具体txt文…

[通俗易懂]《动手学强化学习》学习笔记2-第2、3、4章

文章目录 前言小总结&#xff08;前文回顾&#xff09;第二章 多臂老虎机2.2.2形式化描述 第三章 马尔可夫决策过程3.6 占用度量 代码3.6 占用度量 定理2 第四章 动态规划算法4.3.3 策略迭代算法 代码 总结 前言 参考&#xff1a; 《动手学强化学习》作者&#xff1a;张伟楠&a…

为什么要部署IP SSL证书?怎么申请?

我们需要知道什么是IP SSL证书。SSL&#xff0c;全称为Secure Sockets Layer&#xff0c;即安全套接层&#xff0c;是为网络通信提供安全及数据完整性的一种安全协议。而IP SSL证书就是基于SSL协议的一种证书&#xff0c;它能够为网站和用户的数据传输提供加密处理&#xff0c;…

Prometheus-Grafana基础篇安装绘图

首先Prometheus安装 1、下载 https://prometheus.io/download/ 官网路径可以去这儿下载 2、如图&#xff1a; 3.解压&#xff1a; tar -xf prometheus-2.6.1.linux-amd64 cd prometheus-2.6.1.linux-amd64 4.配置文件说明&#xff1a; vim prometheus.yml 5.启动Promethe…

OpenHarmony NAPI 框架生成工具实现流程

NAPI 框架生成工具 可以根据用户指定路径下的 ts(typescript)接口文件一键生成 NAPI 框架代码、业务代码框架、GN 文件等。在开发 JS 应用与 NAPI 间接口时&#xff0c;底层框架开发者无需关注 Nodejs 语法、C 与 JS 之间的数据类型转换等上层应用转换逻辑&#xff0c;只关注底…

论文| Convolutional Neural Network-based Place Recognition - 2014

2014-Convolutional Neural Network-based Place Recognition

Qt plugin 开发UI界面插件

目录 1.创建接口 2.创建插件 3.创建插件界面 4.插件实现 5.创建应用工程 6.应用插件 1.创建接口 打开QtCreater&#xff0c;点击左上角“文件”->新建文件或项目&#xff0c;在弹窗中选择C/CHeader File。 输入文件名&#xff0c;选好路径&#xff08;可自行设置名称…

Golang | Leetcode Golang题解之第16题最接近的三数之和

题目&#xff1a; 题解&#xff1a; func threeSumClosest(nums []int, target int) int {sort.Ints(nums)var (n len(nums)best math.MaxInt32)// 根据差值的绝对值来更新答案update : func(cur int) {if abs(cur - target) < abs(best - target) {best cur}}// 枚举 a…

BM96 主持人调度(二)(贪心算法)

一开始写的时候忘了给start、end数组赋值了 import java.util.*;public class Solution {/*** 代码中的类名、方法名、参数名已经指定&#xff0c;请勿修改&#xff0c;直接返回方法规定的值即可** 计算成功举办活动需要多少名主持人* param n int整型 有n个活动* param start…

Qt——示波器/图表 QCustomPlot

一、介绍 QCustomPlot是一个用于绘图和数据可视化的Qt C小部件。它没有进一步的依赖关系&#xff0c;提供友好的文档帮助。这个绘图库专注于制作好看的&#xff0c;出版质量的2D绘图&#xff0c;图形和图表&#xff0c;以及为实时可视化应用程序提供高性能。QCustomPlot可以导出…

解决 VSCode 编辑器点击【在集成终端中打开】出现新的弹框

1、问题描述 在 VSCode 的项目下&#xff0c;鼠标右键&#xff0c;点击【在集成终端中打开】&#xff0c;出现新的一个弹框。新版的 VSCode 会有这个问题&#xff0c;一般来说我们都希望终端是在 VSCode 的控制台中打开的&#xff0c;那么如何关闭这个弹框呢&#xff1f; 2、解…

浅谈一下数据接口怎么方便我们的工作

最近一段时间&#xff0c;一直在折腾自己工作上面的一些事情&#xff0c;这几天终于稍微闲下来去做一份表格。 这份表格是基于一款游戏的数据接口去做一个动态的运算&#xff0c;核算出相关的利润率等等的数据。那么我们今天来具体聊聊Excel的获取数据功能。 现在比较多应用都…

VUE3和SpringBoot实现ChatGPT页面打字效果SSE流式数据展示

在做这个功能之前&#xff0c;本人也是走了很多弯路&#xff08;花了好几天才搞好&#xff09;&#xff0c;你能看到本篇博文&#xff0c;那你就是找对地方了。百度上很多都是使用SseEmitter这种方式&#xff0c;这种方式使用的是websocket&#xff0c;使用这种方式就搞复杂了&…

Java项目:基于SSM+vue框架实现的人力资源管理系统设计与实现(源码+数据库+毕业论文+任务书)

一、项目简介 本项目是一套基于SSM框架实现的人力资源管理系统 包含&#xff1a;项目源码、数据库脚本等&#xff0c;该项目附带全部源码可作为毕设使用。 项目都经过严格调试&#xff0c;eclipse或者idea 确保可以运行&#xff01; 该系统功能完善、界面美观、操作简单、功能…

WEB漏洞-文件上传之WAF绕过及安全修复

#上传参数解析&#xff1a; Content-disposition&#xff1a;一般不可更改 Name&#xff1a;表单参数值&#xff0c;不能更改&#xff08;更改需要达到统一&#xff09; Filename&#xff1a;文件名&#xff0c;可以更改 Content-type&#xff1a;文件MIME&#xff0c;视情…

从零开始:构建、打包并上传个人前端组件库至私有npm仓库的完整指南

文章目录 一、写组件1、注册全局组件方法2、组件13、组件2 二、测试三、发布1、配置package.json2、生成库包3、配置发布信息4、发布 四、使用1、安装2、使用 五、维护1、维护和更新2、注意事项 一、写组件 确定组件库的需求和功能&#xff1a;在开始构建组件库之前&#xff0c…

【51单片机入门记录】RTC(实时时钟)-DS1302应用

目录 一、DS1302相关写函数 &#xff08;1&#xff09;Write&#xff3f;Ds1302 &#xff08;2&#xff09;Write&#xff3f;Ds1302&#xff3f;Byte 二、DS130相关数据操作流程及相关代码 &#xff08;1&#xff09;DS1302初始化数据操作流程及相关代码 (shijian[i]/10&…