同三维T80002JEHV H.265高清解码器

同三维T80002JEHV H.265高清解码器

1路HDMI+1路VGA解码输出,1/2/4画面分割或16路轮询显示  

00

 

产品简介:

     同三维T80002JEHV解码器使用Linux系统,支持VGA/HDMI二种接口同时输出,支持多流输入多流解码及多屏显示,具有完善的Web控制功能,并提供SDK用于二次开发。支持H265/H264视频解码,支持AAC/MP3高清音频解码及CD音质输出,支持高清3.5立体声模拟音频和数字音频音频输出,支持全协议(ts/rtsp/rtp/rtmp/hls)解码,专为多品牌编码器统一解码设计的一款万能解码器,具有强大的兼容性,目前已支持国内主流编码器及DVR厂商。

           

02

产品特点:

• 嵌入Linux系统,稳定可靠

• 支持VGA/HDMI接口双头解码输出

• 3.5模拟和hdmi数字音频输出可选

• 支持H265/H264视频解码,支持AAC/MP3高清音频解码及CD音质输出

• 显示分辨率高达4096x4096

• 多路1080P全高清及aac实时解码,支持4路VGA实时解码

• 全协议解码支持(udp/ts/rtsp/rtp/mms/rtmp/html5/tcp/http)

• 单画面/双画面/四画面/16路轮询多种显示模式

• 解码的同时可提供流直播功能

• 开机全屏播任意流地址或网页地址(内置html5及flash支持)

• 支持无线键鼠,用于人机交互应用

• 内置8Gb大容量空间,ftp管理

• 开机可选目录循环播放本地视频/图片

• 支持主流的编码设备和网络摄像机IPC

• 低功耗,节能环保

03

 

三、产品参数:

视音频输出

VGA输出1路,VGA 4096x4096内分辨率全覆盖,VESA标准输出1600*1200@60HZ、1080P(1920*1080P)@60HZ、1920*1200@60HZ、1280*1024@60HZ、 1024*768@60HZ、 1280*720@60HZHDMI输出1路,1536P(2048*1536) @60HZ、1080P(1920*1080P)@60HZ、1920*1200@60HZ、 1280*1024@60HZ、1024*768@60HZ、 1280*720@60HZ音频输出1路,Line Out、 1路,HDMI数字音频输出

视音频解码参数

视频解码分辨率1536P(2048*1536) @60HZ、1080P(1920*1080P)、720P(1280*720P)、UXGA (1600*1200)、 SVGA(800*600)、VGA(640*480)等多种分辨率视频解码能力1路1536P/2路1080P/4路1024x768/3路720P/8路D1/16路CIF解码通道16画面分割数1/2/4

外部接口

网络接口1个,RJ45 10M/100M/1000M自适应以太网口串行接口1个,标准RS-232串行接口(DB9)4个,标准USB host语音输出1路,3.5mm音频接口(电平:2.0Vp-p,阻抗:1KΩ )语音输入1路,3.5mm音频接口(电平:2.0Vp-p,阻抗:1KΩ )VGA输出1个HDMI输出1个

网络参数

网络协议支持TCP/IP、UDP、IPv4、IPv6;支持UDP、HTTP、RTP、RTSP、RTMP、RTCP、MMS、httpts;支持Chrome浏览器App模式,内置HTML5/Flash支持

异步播放

内置空间大小:8Gbyte管理:ftp支持格式:图片/视频播放模式:目录循环

其他

电源DC 12V系统嵌入Linux功耗≤20W工作温度-10℃--+55℃工作湿度10%~90%,无冷凝尺寸200mm(宽) ×190mm(深) ×30mm(高)重量≤1.5Kg

04

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/354415.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

NET Core C# 中的Action委托:语法、用法和示例_2024-06-19

Action委托是一个内置的泛型委托类型。此委托使您的程序更具可读性和效率,因为您无需定义自定义委托,如以下示例所示。 它在 System 命名空间下定义。它没有输出参数,输入参数最少为 1 个,最多为 16 个。 Action委托通常用于具有…

【windows|004】BIOS 介绍及不同品牌电脑和服务器进入BIOS设置的方法

🍁博主简介: 🏅云计算领域优质创作者 🏅2022年CSDN新星计划python赛道第一名 🏅2022年CSDN原力计划优质作者 ​ 🏅阿里云ACE认证高级工程师 ​ 🏅阿里云开发者社区专家博主 💊交流社…

Android device/xxx/system/common/overlay编译产物

MTK 如下代码编译的产物在 framework-res.apk 编译配置文件在device/mediatek/system/common/目录下的Android.bp device/mediatek/system/common/overlay/telephony/frameworks/base/core/res/res/values-mcc655-mnc01/config.xml 在Android U上面还在overlay目录中进行了产…

前端框架中的路由(Routing)和前端导航(Front-End Navigation)

聚沙成塔每天进步一点点 本文回顾 ⭐ 专栏简介前端框架中的路由(Routing)和前端导航(Front-End Navigation)1. 路由(Routing)1.1 定义1.2 路由的核心概念1.2.1 路由表(Route Table)1…

13.3 Go 性能优化

💝💝💝欢迎莅临我的博客,很高兴能够在这里和您见面!希望您在这里可以感受到一份轻松愉快的氛围,不仅可以获得有趣的内容和知识,也可以畅所欲言、分享您的想法和见解。 推荐:「stormsha的主页」…

LENOVO联想 小新 16 IAH8 2023款(83BG)笔记本原厂Windows11系统,恢复出厂开箱状态预装OEM系统镜像安装包下载

适用型号:小新 16 IAH8【83BG】 链接:https://pan.baidu.com/s/18VbGbBXtQEW5P8wLIyJtAQ?pwddv1s 提取码:dv1s 联想原装Win11系统自带所有驱动、出厂主题壁纸、系统属性联机支持标志、系统属性专属LOGO标志、Office办公软件、联想电脑管家…

Java | Leetcode Java题解之第168题Excel表列名称

题目: 题解: class Solution {public String convertToTitle(int columnNumber) {StringBuffer sb new StringBuffer();while (columnNumber ! 0) {columnNumber--;sb.append((char)(columnNumber % 26 A));columnNumber / 26;}return sb.reverse().t…

读AI新生:破解人机共存密码笔记06人工智能生态系统

1. 深蓝 1.1. “深蓝”的胜利虽然令人印象深刻,但它只是延续了几十年来显而易见的趋势 1.2. 国际象棋算法的基本设计是由克劳德香农在1950年提出的 1.2.1. 这一基本设计在20世纪60年代初实现了重大改进 1.2.2. 最优秀的国际象棋程序的等级评分稳步提高&#xff…

【雷丰阳-谷粒商城 】【分布式高级篇-微服务架构篇】【11】ElasticSearch

持续学习&持续更新中… 守破离 【雷丰阳-谷粒商城 】【分布式高级篇-微服务架构篇】【11】ElasticSearch 简介基本概念ElasticSearch概念-倒排索引安装基本命令ik 分词器SpringBoot整合测试存储数据:测试复杂检索同步与异步调用 参考 简介 Elasticsearch 是一…

C++ | Leetcode C++题解之第167题两数之和II-输入有序数组

题目&#xff1a; 题解&#xff1a; class Solution { public:vector<int> twoSum(vector<int>& numbers, int target) {int low 0, high numbers.size() - 1;while (low < high) {int sum numbers[low] numbers[high];if (sum target) {return {low …

差分数组汇总

本文涉及知识点 算法与数据结构汇总 差分数组 令 a[i] ∑ j : 0 i v D i f f [ i ] \sum_{j:0}^{i}vDiff[i] ∑j:0i​vDiff[i] 如果 vDiff[i1]&#xff0c;则a[i1…]全部 如果vDiff[i2]–,则a[i2…]全部–。 令11 < i2 &#xff0c;则&#xff1a; { a [ i ] 不变&…

码住!详解时序数据库不同分类与性能对比

加速发展中的时序数据库&#xff0c;基于不同架构&#xff0c;最流行的类别是&#xff1f; 作为管理工业场景时序数据的新兴数据库品类&#xff0c;时序数据库凭借着对海量时序数据的高效存储、高可扩展性、时序分析计算等特性&#xff0c;一跃成为物联网时代工业领域颇受欢迎的…

RK3568技术笔记十二 Android编译方法

Android源码说明 Android源码在SAIL-RK3568开发板光盘->Android->源代码中&#xff0c;由于android源码太大&#xff0c;在进行压缩时&#xff0c;进行分包压缩&#xff0c;因此有4部分&#xff0c;如图所示&#xff1a; 进行解压时&#xff0c;需将4部分压缩包放置同一…

技术差异,应用场景;虚拟机可以当作云服务器吗

虚拟机和云服务器是现在市面上常见的两种计算资源提供方式&#xff0c;很多人把这两者看成可以相互转换或者替代的物品&#xff0c;实则不然&#xff0c;这两种资源提供方式有许多相似之处&#xff0c;但是也有不少区别&#xff0c;一篇文章教你识别两者的技术差异&#xff0c;…

快速搭建Jenkins自动化集成cicd工具

一、简介 jenkins是一款优秀的自动化持续集成运维工具&#xff0c;可以极大的简化运维部署的步骤。 传统的项目部署需要手动更换最新的项目代码&#xff0c;然后打包并运行到服务器上。 使用Jenkins可以自动化实现&#xff0c;当代码编写完成并提交到git后&#xff0c;Jenki…

【前端项目笔记】3 用户管理

用户管理相关功能实现 涉及表单、对话框、Ajax数据请求 基本页面 用户列表开发 在router.js中导入Users.vue 解决用户列表小问题 选中&#xff08;激活&#xff09;子菜单后刷新不显示高亮 给二级菜单绑定单击事件&#xff0c;点击链接时把对应的地址保存到sessionSto…

使用高德API计算两个地址的距离

要使用高德地图API来计算两个城市之间的距离&#xff0c;你需要首先在高德开放平台上注册并获取API密钥&#xff08;AK&#xff09;。以下是一个使用Java调用高德地图API来计算两个城市之间距离的示例代码。 步骤 1: 获取高德地图API密钥 访问高德开放平台&#xff08;https:…

FreeRTOS源码分析

目录 1、FreeRTOS目录结构 2、核心文件 3、移植时涉及的文件 4、头文件相关 4.1 头文件目录 4.2 头文件 5、内存管理 6、入口函数 7、数据类型和编程规范 7.1 数据类型 7.2 变量名 7.3 函数名 7.4 宏的名 1、FreeRTOS目录结构 使用 STM32CubeMX 创建的 FreeRTOS 工…

【odoo | JSON-RPC】无会话(session_id)控制的api,外部api密钥的另一种表现!

概要 在Odoo中&#xff0c;JSON-RPC&#xff08;JSON Remote Procedure Call&#xff09;是一种基于JSON格式的远程过程调用协议&#xff0c;用于客户端和服务器之间的通信。此文章将介绍 JSON-RPC中无会话(session_id)控制的api&#xff0c;也是外部api密钥的另一种表现方式。…

百度文心智能体平台(想象即现实):轻松上手,开启智能新时代!创建属于自己的智能体应用。

目录 1.1、文心智能体平台 1.2、创建智能体 1.3、智能体报名入口 1.4、古诗词小助手 1.5、访问我的智能体 在这个全新的时代里&#xff0c;人工智能技术正以前所未有的速度发展&#xff0c;渗透到我们生活的方方面面。无论是智能家居、自动驾驶&#xff0c;还是医疗诊断、…