[米联客-安路飞龙DR1-FPSOC] UDP通信篇连载-02 MAC层程序设计

软件版本:Anlogic -TD5.9.1-DR1_ES1.1

操作系统:WIN10 64bit

硬件平台:适用安路(Anlogic)FPGA

实验平台:米联客-MLK-L1-CZ06-DR1M90G开发板

板卡获取平台:https://milianke.tmall.com/

登录“米联客”FPGA社区 http://www.uisrc.com 视频课程、答疑解惑!

目录

​3.1 MAC层

3.1.1 MAC接收模块

3.1.2 MAC发送模块

3.1.3 CRC校验模块

3.1.4 PAUSE帧流控制模块


前面我们介绍了以太网的基本概念,及涉及的各层协议格式,接下来我们通过设计Verilog程序来实现以太网各个子层的功能。程序整体架构图如下:

3.1 MAC层

MAC层一边连接GMII接口,一边连接上层协议传来的数据。该层将上层传来的数据包组帧发送出去,或者将接收到的数据帧解析,将信息和拆解出来的数据包传给上层协议。

3.1.1 MAC接收模块

MAC接收模块主要实现以下几个功能:

(1)对接收到的MAC帧进行解析,过滤前导码、帧起始定界符、MAC地址、类型、CRC校验位,将上层数据包提取出来,并缓存数据包类型,传给上层做判断。

(2)对每帧数据进行CRC校验,与帧末尾的4字节校验位做比较,判断数据的正确性

(3)识别接收到的流控帧,将有效信息发送给子层解析,把解析到的暂停时间和源MAC地址输出至MAC接收模块做进一步处理。

(4)通过FIFO完成PHY接收时钟和用户接口时钟之间的时钟域的转换,并将数据包输出至上层。

 /*******************************uimac_rx模块*********************
--以下是米联客设计的uimac_rx模块
--本模块主要有以下几个功能
--1. 从外部PHY芯片接收mac帧,解析mac帧首部,进行mac地址过滤和帧类型过滤。
--2. 内部子模块crc32_check对每帧数据进行crc32值的计算,判断数据的正确性。
--3. 识别接收的mac流控帧,子模块mac_frame_ctrl提取流控帧中的暂停时间和源mac地址输出至uimac_tx模块。
--4. mac_rx_data_fifo完成phy接收时钟和用户接口时钟之间的时钟域转换,将数据输出。
*********************************************************************/
`timescale  1ns/1ps
module  uimac_rx
(input   wire    [47:0]      I_mac_local_addr    ,   //本地MAC地址input   wire                I_crc32_en          ,   //使能CRC校验input   wire                I_reset             ,   //系统复位//MAC接收数据发送给上层协议input   wire                I_mac_rclk          ,   //接收时钟output  wire                O_mac_rvalid        ,   //MAC帧数据有效output  wire    [7:0]       O_mac_rdata         ,   //MAC有效数据output  wire    [15:0]      O_mac_rdata_type    ,   //MAC类型output  wire                O_mac_rdata_error   ,//发送PAUSE控制到mac_sendoutput  wire                O_mac_pause_en      ,output  wire    [21:0]      O_mac_pause_time    ,output  wire    [47:0]      O_mac_pause_addr    ,//从硬件层获取的裸MAC数据input   wire                I_gmii_rclk         ,   //rgmii接收时钟input   wire                I_gmii_rvalid       ,   //gmii接收数据有效使能信号input   wire    [7:0]       I_gmii_rdata            //gmii接收数据
);wire    [7:0]       mac_rdata;
reg                 mac_rdata_valid;
reg     [15:0]      mac_rdata_type;
reg                 mac_rdata_error;assign  O_mac_rdata         =   mac_rdata;
assign  O_mac_rvalid        =   mac_rdata_valid;
assign  O_mac_rdata_type    =   mac_rdata_type;
assign  O_mac_rdata_error   =   mac_rdata_error;reg     [10:0]      mac_rdata_cnt;
reg                 mac_wfifo_en;   //FIFO写入数据有效使能
reg                 mac_rfifo_en;   //FIFO读数据使能reg                 crc_en;         //crc校验使能
reg     [2:0]       crc_cnt;        //移位计数器
wire    [31:0]      crc_data_out;   //crc校验结果输出
reg     [2:0]       STATE;          //写FIFO状态机
reg     [1:0]       S_RFIFO;        //读FIFO状态机reg     [47:0]      dst_mac_addr;   //MAC帧解析出的目的MAC地址(接收方的MAC地址)
reg     [47:0]      src_mac_addr;   //MAC帧解析出的源MAC地址(发送方的MAC地址)
reg     [15:0]      mac_frame_type; //上层数据包类型(0x0800 ip;0x0806 arp;0x8808 mac_ctrl)
reg                 mac_pause_en;   //PAUSE帧有效使能
reg     [3:0]       cnt;            //对MAC帧头部的字节数计数reg     [10:0]      mac_wfifo_data_cnt_info;//写帧信息字节数到信息FIFO
reg                 mac_wfifo_en_info;      //写帧信息FIFO有效使能
reg                 mac_rfifo_en_info;      //读帧信息FIFO有效使能
wire    [26:0]      mac_rfifo_data_info;    //从信息FIFO读出帧信息
reg     [10:0]      mac_rdata_len;          //mac帧长度
wire                mac_rfifo_empty_info;   //信息FIFO读空信号reg     [7:0]       mac_rdata_r1, mac_rdata_r2, mac_rdata_r3, mac_rdata_r4;//打拍
reg                 mac_rvalid_r1, mac_rvalid_r2, mac_rvalid_r3, mac_rvalid_r4;//打拍localparam  WAIT_SFD            =   3'd0;
localparam  CHECK_MAC_HEADER    =   3'd1;
localparam  WRITE_FIFO          =   3'd2;
localparam  RECORD_FRAME_LENGTH =   3'd3;
localparam  WAIT_FRAME_END      =   3'd4;//STATElocalparam  WAIT_MAC_FRAME          =   2'd0;
localparam  READ_MAC_FRAME_DATA_LENGTH  =   2'd1;
localparam  READ_MAC_FRAME_DATA     =   2'd2;//S_RFIFOlocalparam  ARP_TYPE    =   16'h0806;
localparam  IP_TYPE     =   16'h0800;
localparam  MAC_CONTROL_TYPE    =   16'h8808;

由于用户接口时钟和PHY芯片接收时钟不同源,因此需要对输入的数据进行跨时钟域处理,再将数据传至上层。由于需要传输的数据量比较大,且用FIFO做跨时钟域比较简单,所以程序中使用异步FIFO做跨时钟域处理。 在uimac_rx和uimac_tx发送模块中,都使用了两个FIFO做跨时钟域处理,一个FIFO用来缓存数据,另一个FIFO用来缓存需要传递的信息,在一帧输入接收完成后,将信息写入帧信息FIFO,通过FIFO空标志信号来控制一帧数据读出。

信号打4拍再写入数据FIFO是为了过滤掉4字节CRC校验位。

assign  O_mac_pause_addr    =   src_mac_addr;always@(posedge I_gmii_rclk) beginmac_rdata_r1    <=  I_gmii_rdata;mac_rdata_r2    <=  mac_rdata_r1;   mac_rdata_r3    <=  mac_rdata_r2;mac_rdata_r4    <=  mac_rdata_r3;
endalways@(posedge I_gmii_rclk) beginmac_rvalid_r1   <=  I_gmii_rvalid;mac_rvalid_r2   <=  mac_rvalid_r1;  mac_rvalid_r3   <=  mac_rvalid_r2;mac_rvalid_r4   <=  mac_rvalid_r3;
end//打4拍,方便fifo只写入有效数据,而不写入crc校验位mac_rx_data_fifo mac_rx_data_fifo (.rst            (I_reset),.wr_clk         (I_gmii_rclk),.din            (mac_rdata_r4),.wr_en          (mac_wfifo_en & I_gmii_rvalid),//mac_wfifo_en控制只写入有效数据部分,I_gmii_rvalid控制最后的CRC部分不写入.rd_clk         (I_mac_rclk),.rd_en          (mac_rfifo_en),.dout           (mac_rdata),.full           (),.empty          (),.rd_data_count  (),.wr_data_count  ()
);mac_rx_frame_fifo mac_rx_frame_fifo (.rst            (I_reset),.wr_clk         (I_gmii_rclk),.din            ({mac_wfifo_data_cnt_info,mac_frame_type}),.wr_en          (mac_wfifo_en_info),.rd_clk         (I_mac_rclk),.rd_en          (mac_rfifo_en_info),.dout           (mac_rfifo_data_info),.full           (),.empty          (mac_rfifo_empty_info)
);crc32_check crc32_check
(.reset          (I_reset),.clk            (I_gmii_rclk),.CRC32_en       (crc_en & I_crc32_en),.CRC32_init     (~mac_rvalid_r4),.data           (mac_rdata_r4),.CRC_data       (crc_data_out)
);//mac帧控制,当接收方来不及处理接收数据,需要进行帧控制,通知发送模块。
uimac_tx_frame_ctrl mac_tx_frame_ctrl
(.I_clk              (I_gmii_rclk),.I_reset            (I_reset),.I_mac_pause_en     (mac_rvalid_r4 & mac_pause_en),.I_mac_data         (mac_rdata_r4),.O_mac_pause_en     (O_mac_pause_en),.O_mac_pause_time   (O_mac_pause_time)
);

通过状态机分别对FIFO写入数据和FIFO读出数据的时序进行控制。FIFO写入数据的状态机转换图如图所示。

WAIT_SFD:初始状态为WAIT_SFD,等待接收到帧起始定界符8’hd5时,跳转到CHECK_MAC_HEADER帧头接收状态。

CHECK_MAC_HEADER:通过计数器将每一字节的帧头信息缓存,帧头信息接收完成后,判断接收到数据包的类型。如果接收到的MAC帧类型为IP包或者ARP包,跳转至WRITE_FIFO状态,并将数据FIFO写使能拉高,将有效数据写入数据FIFO,如果接收到的MAC帧类型为流量控制帧,则将有效数据传入帧流控制模块,对信息进行解析,跳转至FRAME_END状态,状态如果以上情况都不是,丢弃该帧,跳转至FRAME_END状态。

WRITE_FIFO:当数据有效信号为高时,将有效数据写入写数据FIFO,使用I_gmii_rvalid做为计数器计数的有效信号,是为了统计到有效数据的准确长度。CRC校验完成后,将帧长度和帧类型写入帧信息FIFO中,进入RECORD_FRAME_LENGTH状态。

RECORD_FRAME_LENGTH:帧信息写入帧信息FIFO完成后,回到WAIT_SFD状态,等待接收下一帧。

always@(posedge I_gmii_rclk or posedge I_reset) beginif(I_reset) begindst_mac_addr        <=  48'd0;src_mac_addr        <=  48'd0;mac_frame_type      <=  16'd0;mac_wfifo_en        <=  1'b0;mac_wfifo_en_info   <=  1'b0;mac_wfifo_data_cnt_info <=  11'd0;cnt                 <=  4'd0;crc_en              <=  1'b0;crc_cnt             <=  3'd4;mac_rdata_error     <=  1'b1;mac_pause_en        <=  1'b0;STATE               <=  WAIT_SFD;endelse begincase(STATE)WAIT_SFD:beginif(mac_rvalid_r4 & (mac_rdata_r4 == 8'hd5)) begin//以太网帧开始同步,一个字节为mac字段crc_en  <=  1'b1;//使能crcSTATE   <=  CHECK_MAC_HEADER;//进入帧头接收endelseSTATE   <=  WAIT_SFD;endCHECK_MAC_HEADER:begincase(cnt)4'd0:begin  dst_mac_addr[47:40]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd1:begin  dst_mac_addr[39:32]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd2:begin  dst_mac_addr[31:24]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd3:begin  dst_mac_addr[23:16]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd4:begin  dst_mac_addr[15: 8]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd5:begin  dst_mac_addr[ 7: 0]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end//目的mac4'd6:begin  src_mac_addr[47:40]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd7:begin  src_mac_addr[39:32]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd8:begin  src_mac_addr[31:24]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd9:begin  src_mac_addr[23:16]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd10:begin src_mac_addr[15: 8]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd11:begin src_mac_addr[ 7: 0]     <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end4'd12:begin mac_frame_type[15: 8]   <=  mac_rdata_r4; cnt   <=  cnt + 1'b1; end//源mac4'd13:beginmac_frame_type[7:0] <=  mac_rdata_r4;cnt <=  4'd0;if(dst_mac_addr == I_mac_local_addr) begin//判断mac是否一致if({mac_frame_type[15:8], mac_rdata_r4} == IP_TYPE || {mac_frame_type[15:8], mac_rdata_r4} == ARP_TYPE) beginmac_wfifo_en    <=  1'b1;//写fifo使能,只写入数据有效部分STATE           <=  WRITE_FIFO;endelse begin//需要过滤的帧mac_wfifo_en    <=  1'b0;//禁止写fifoSTATE           <=  WAIT_FRAME_END;//过滤该帧,等待帧结束endendelse if(dst_mac_addr == 48'h01_80_c2_00_00_01) begin//如果目的地址为48'h0180c2000001(固定值),mac控制帧,需要进行PAUSE流控制mac_wfifo_en    <=  1'b0;STATE           <=  WAIT_FRAME_END;if({mac_frame_type[15:8], mac_rdata_r4} == MAC_CONTROL_TYPE)//报文类型字段,需要进行pause流控制mac_pause_en    <=  1'b1;//mac控制帧有效elsemac_pause_en    <=  1'b0;endelse if(dst_mac_addr == 48'hff_ff_ff_ff_ff_ff) begin//对于广播地址,只接收arp包,其余类型的广播包全部过滤if({mac_frame_type[15:8], mac_rdata_r4} == ARP_TYPE) beginmac_wfifo_en    <=  1'b1;//写帧数据fifo使能,只写入有效数据部分STATE           <=  WRITE_FIFO;endelse begin//需要过滤的帧mac_wfifo_en    <=  1'b0;STATE           <=  WAIT_FRAME_END;endendelse begin//需要过滤的帧mac_wfifo_en    <=  1'b0;STATE           <=  WAIT_FRAME_END;endendendcaseend WRITE_FIFO:begin//将去除首部后的ip数据包或者arp帧存入mac_rx_frame_fifo中,同时对当前数据包的长度进行统计if(I_gmii_rvalid) begin//写帧信息fifomac_wfifo_data_cnt_info     <=  mac_wfifo_data_cnt_info + 1'b1;//有效数据计数器STATE                       <=  WRITE_FIFO;endelse beginif(crc_cnt == 3'd0) begin//crc校验if(crc_data_out != 32'hc704dd7b)mac_rdata_error <=  1'b1;//校验正确elsemac_rdata_error <=  1'b0;//校验错误mac_wfifo_en        <=  1'b0;mac_wfifo_en_info   <=  1'b1;//写帧信息fifo使能crc_en              <=  1'b0;crc_cnt             <=  3'd4;STATE               <=  RECORD_FRAME_LENGTH;//写入帧信息到帧信息fifoendelsecrc_cnt <=  crc_cnt - 1'b1;//crc计算计数器endendRECORD_FRAME_LENGTH:begin//写帧信息完成后,回到状态机WAIT_SFDmac_wfifo_en_info       <=  1'b0;mac_wfifo_data_cnt_info <=  11'd0;STATE                   <=  WAIT_SFD;//回到帧探测状态机endWAIT_FRAME_END:begin//等待帧结束 if(mac_rvalid_r4)STATE               <=  WAIT_FRAME_END;else begincrc_en              <=  1'b0;mac_pause_en        <=  1'b0;STATE               <=  WAIT_SFD;endendendcaseend
end

WAIT_FRAME_END:等待不传入上层的帧结束,回到WAIT_SFD状态,等待接收下一帧。FIFO读出数据的状态机转换图如图所示。

WAIT_MAC_FRAME:当帧信息FIFO的空信号拉低,表明一帧数据已经接收完毕,将帧信息FIFO缓存的帧信息读出,并跳转至READ_MAC_FRAME_DATA_LENGTH状态。

READ_MAC_FRAME_DATA_LENGTH:该状态下开始读出数据FIFO缓存的有效数据,并将数据有效信号拉高,开始向上层传输数据包,随即进入READ_MAC_FRAME_DATA状态。

READN_MAC_FRAME_DATA:对读出的数据数量计数,当读出的数据量为帧信息FIFO中读出的帧长度时,停止读出数据,返回WAIT_MAC_FRAME状态。

always@(posedge I_mac_rclk or posedge I_reset) beginif(I_reset) beginmac_rfifo_en_info   <=  1'b0;mac_rdata_len       <=  11'd0;mac_rdata_cnt       <=  11'd0;mac_rfifo_en        <=  1'b0;mac_rdata_type      <=  16'd0;mac_rdata_valid     <=  1'b0;S_RFIFO             <=  WAIT_MAC_FRAME;endelse begincase(S_RFIFO)WAIT_MAC_FRAME:beginif(!mac_rfifo_empty_info) begin//接收mac帧信息fifo非空mac_rfifo_en_info   <=  1'b1;S_RFIFO             <=  READ_MAC_FRAME_DATA_LENGTH;endelseS_RFIFO             <=  WAIT_MAC_FRAME;endREAD_MAC_FRAME_DATA_LENGTH:beginmac_rdata_len       <=  mac_rfifo_data_info[26:16];//mac帧长度mac_rdata_type      <=  mac_rfifo_data_info[15:0];//mac类型mac_rfifo_en_info   <=  1'b0;mac_rfifo_en        <=  1'b1;//读数据fifomac_rdata_valid     <=  1'b1;//数据有效S_RFIFO             <=  READ_MAC_FRAME_DATA;endREAD_MAC_FRAME_DATA:beginif(mac_rdata_cnt < (mac_rdata_len - 1'b1)) begin//读完一帧数据mac_rdata_cnt   <=  mac_rdata_cnt + 1'b1;S_RFIFO         <=  READ_MAC_FRAME_DATA;endelse beginmac_rfifo_en    <=  1'b0;mac_rdata_valid <=  1'b0;mac_rdata_cnt   <=  11'd0;mac_rdata_len   <=  11'd0;mac_rdata_type  <=  16'd0;S_RFIFO         <=  WAIT_MAC_FRAME;endendendcaseend
end

3.1.2 MAC发送模块

MAC发送模块主要实现以下功能:

(1)接收IP或ARP数据包,添加MAC帧首部,并对长度不足64字节的包进行补0。

(2)通过CRC校验模块,生成CRC校验值添加在帧的末尾。

(3)通过流控模块,接收MAC接收模块发送的暂停信号,进行流量控制。

(4)通过FIFO完成PHY发送时钟和用户接口时钟之间的时钟域的转换,并将数据输出至外部PHY芯片。

该模块数据跨时钟域转换的方式和uimac_rx模块类似,使用两个FIFO对数据进行处理。通过DR1_LOGIC_SHIFTER原语将数据延时,将帧头插入,该方法在uiip_tx和uiudp_tx模块中也有所体现,该原语可在TD安装路径下的arch文件夹的dr1_macro.v文件中找到。

控制FIFO写入数据的状态机跳转图如所示。

WAIT_DATA_PACKET:当数据发送过来后,开始接收数据并缓存进FIFO中,并通过寄存器缓存帧信息,进入WIRTE_FIFO状态。如果数据FIFO写计数器大于阈值(本工程设置为2500,具体数值根据FIFO深度而定),则停止接收该帧,保持原状态不变。

WRITE_FIFO:写数据到数据FIFO中,并且对写入的数据长度进行计数,如果数据长度小于46,对数据末尾进行补0,一帧数据写入完成后,将长度、类型和地址信息写入帧信息FIFO,进入RECORD_DATA_PACKET_INFO状态。

RECORD_DATA_PACKET_INFO:信息写入帧信息FIFO完成后,回到WAIT_DATA_PACKET状态,等待接收下一帧数据。

always@(posedge I_mac_tclk or posedge rst) beginif(rst) beginmac_wfifo_en_info           <=  1'b0;   //MAC消息FIFO,把MAC的信息包括,目的MAC地址、有效数据长度、帧类型写入到info fifo暂存mac_wfifo_data_addr_info    <=  48'd0;  //MAC目的地址,暂存info fifomac_wfifo_data_type_info    <=  16'd0;  //MAC帧类型,暂存info fifomac_wfifo_data_cnt_info     <=  11'd0;  //MAC数据部分发送字节计数器mac_wfifo_en                <=  1'b0;   //将帧数据写入到mac_tx_data_fifo缓存mac_wfifo_data              <=  8'd0;   //将帧数据写入到mac_tx_data_fifo缓存O_mac_tbusy                 <=  1'b1;   //通知外部模块,非忙S_WFIFO                     <=  WAIT_DATA_PACKET;endelse begincase(S_WFIFO)WAIT_DATA_PACKET:beginif(mac_wfifo_data_cnt > SEND_PAUSE_THRESHOLD) begin//当FIFO写通道数据计数器大于SEND_PAUSE_THRESHOLD,不进行新的一帧传输,O_mac_tbusy为握手信号,不进行握手(拉高)O_mac_tbusy                 <=  1'b0;S_WFIFO                     <=  WAIT_DATA_PACKET;endelse beginif(I_mac_tvalid) begin//当有效数据发送过来后开始接收数据并且缓存到FIFOO_mac_tbusy             <=  1'b1;               //uimac_tx 忙mac_wfifo_en            <=  1'b1;               //将数据写入FIFOmac_wfifo_data          <=  I_mac_tdata;        //写入FIFO的数据mac_wfifo_data_addr_info<=  I_mac_tdest_addr;   //目的MAC地址mac_wfifo_data_type_info<=  {14'd0, I_mac_tdata_type};//数据类型mac_wfifo_data_cnt_info <=  mac_wfifo_data_cnt_info + 1'b1;//一帧数据的长度,以BYTE为单位S_WFIFO                 <=  WRITE_FIFO;//进入下一个状态等待写FIFOendelse beginO_mac_tbusy             <=  1'b0;           //uimac_tx 非忙S_WFIFO                 <=  WAIT_DATA_PACKET;endendendWRITE_FIFO:begin//写数据到FIFO该FIFO用于缓存udp协议发送过来的数据if(I_mac_tvalid) begin//一帧数据接收过程中O_gmii_tdata_valid始终为高电平mac_wfifo_en                <=  1'b1;//继续写FIFOmac_wfifo_data              <=  I_mac_tdata;//写入FIFO的数据mac_wfifo_data_cnt_info     <=  mac_wfifo_data_cnt_info + 1'b1;//帧字节计数器累加S_WFIFO                     <=  WRITE_FIFO;endelse beginif(mac_wfifo_data_cnt_info < 11'd46) begin//当一包/帧数据的长度小于46字节,自动补0(一帧数据最小64bytes,其中数据部分最小46bytes)mac_wfifo_en            <=  1'b1;mac_wfifo_data          <=  8'd0;mac_wfifo_en_info       <=  1'b0;mac_wfifo_data_cnt_info <=  mac_wfifo_data_cnt_info + 1'b1;S_WFIFO                 <=  WRITE_FIFO;endelse begin//当一包/帧数据接收完,写包/帧信息 到包/帧信息FIFOmac_wfifo_en            <=  1'b0;mac_wfifo_data          <=  8'd0;mac_wfifo_en_info       <=  1'b1;S_WFIFO                 <=  RECORD_DATA_PACKET_INFO;endendendRECORD_DATA_PACKET_INFO:begin//时序中,该周期完成写包/帧信息 到包/帧信息FIFOmac_wfifo_en_info               <=  1'b0;mac_wfifo_data_addr_info        <=  48'd0;mac_wfifo_data_type_info        <=  16'd0;mac_wfifo_data_cnt_info         <=  11'd0;S_WFIFO                         <=  WAIT_DATA_PACKET;endendcaseend
end

控制FIFO读出数据的状态机转换图如图所示。

WAIT_DATA_PACKET:帧信息FIFO非空,说明有帧需要发送,将帧信息FIFO读使能拉高一个时钟周期,跳转至READ_DATA_PACKET_INFO状态。

READ_DATA_PACKET_INFO:对读出的帧信息进行解析,通过帧类型得到目地MAC地址,并拉高数据FIFO读使能,开始读出有效数据,输入进移位寄存器中,进入RAED_DATA_PACKET状态。如果PAUSE标志信号为高,且PAUSE帧的地址和目的MAC地址相同,说明对方请求暂停发送,则进入WAIT_PAUSE_END状态。

READ_DATA_PACKET:当一帧数据读完后,进入WAIT_CRC_TRANS_DONE状态。

WAIT_CRC_TRANS_DONE:等待CRC计数器置零,代表一帧数据发送完成,进入ADD_IFG状态。

ADD_IFG:等待最小帧间隔结束,回到WAIT_DATA_PACKET状态,等待接收下一帧。

WAIT_PAUSE_END:等待PAUSE标志信号拉低,暂停结束,此时将数据FIFO读使能拉高,继续读该帧的有效数据,进入READ_DATA_PACKET状态。

READ_DATA_PACKET:将shift_ram移位后的数据进行组帧,通过计数器添加前导码、SFD、目的MAC、源MAC和类型字段,并在帧末尾填充4字节CRC校验数据。

//完成MAC帧的发送,用到了前面的帧缓存FIFO,信息缓存FIFO,以及SHIFT寄存器(实现MAC帧头信息插入)
always@(posedge I_gmii_tclk or posedge I_reset) beginif(I_reset) beginmac_rfifo_data_en           <=  1'b0;   mac_rfifo_en_info           <=  1'b0;   mac_rfifo_data_cnt          <=  11'd0;  mac_rfifo_data_length       <=  11'd0;  mac_rfifo_data_addr         <=  48'd0;  ether_type                  <=  16'd0;  inter_gap_cnt               <=  4'd0;   S_RFIFO                     <=  WAIT_DATA_PACKET;endelse begincase(S_RFIFO)WAIT_DATA_PACKET:beginif(!mac_rfifo_empty_info) begin//帧信息FIFO非空代表有帧需要发送mac_rfifo_en_info       <=  1'b1;//FIFO是设置的FWT模式,如果只有1帧数据,那么FIFO被读空,否则FIFO输出更新到下一帧S_RFIFO                 <=  READ_DATA_PACKET_INFO;endelseS_RFIFO                 <=  WAIT_DATA_PACKET;endREAD_DATA_PACKET_INFO:beginif(mac_rfifo_data_info[15:0] == 16'h0002) begin//发送的ARP包类型为应答包ether_type              <=  ARP_PACKET;mac_rfifo_data_addr     <=  mac_rfifo_data_info[74:27];//MAC地址endelse if(mac_rfifo_data_info[15:0] == 16'h0003) beginether_type              <=  ARP_PACKET;mac_rfifo_data_addr     <=  48'hff_ff_ff_ff_ff_ff;//广播地址endelse beginether_type              <=  IP_PACKET;//IP 包mac_rfifo_data_addr     <=  mac_rfifo_data_info[74:27];//MAC地址endmac_rfifo_data_length       <=  mac_rfifo_data_info[26:16];//数据长度mac_rfifo_en_info           <=  1'b0;if(pause_flag && mac_rfifo_data_info[74:27] == pause_dst_mac_addr) begin//如果存在PAUSE帧需要发送,并且目的地址和当前目的地址一致mac_rfifo_data_en       <=  1'b0;//PAUSE 帧阶段不从FIFO读数据   S_RFIFO                 <=  WAIT_PAUSE_END;//等待PAUSE流控制结束endelse beginmac_rfifo_data_en       <=  1'b1;S_RFIFO                 <=  READ_DATA_PACKET;endendREAD_DATA_PACKET:beginif(mac_rfifo_data_cnt == (mac_rfifo_data_length - 1'b1)) begin//一帧数据从FIFO读完(上一个状态已经读出了一个周期,所以这里少计一个数)mac_rfifo_data_en       <=  1'b0;mac_rfifo_data_length   <=  11'd0;mac_rfifo_data_cnt      <=  11'd0;mac_rfifo_data_addr     <=  48'd0;ether_type              <=  16'd0;S_RFIFO                 <=  WAIT_CRC_TRANS_DONE;    endelse beginmac_rfifo_data_en       <=  1'b1;mac_rfifo_data_cnt      <=  mac_rfifo_data_cnt + 1'b1;S_RFIFO                 <=  READ_DATA_PACKET;endendWAIT_CRC_TRANS_DONE:begin//等待正在发送的MAC数据包CRC发送完成if(crc_cnt)S_RFIFO                 <=  WAIT_CRC_TRANS_DONE;elseS_RFIFO                 <=  ADD_IFG;endADD_IFG:begin//数据包发送后,插入帧间隔,2帧之间最少需要IFGmini=96bit/speed,比如1000M 96ns 100M 960ns 10M 9600nsif(inter_gap_cnt == (IFG - 4'd4)) begin//插入最小帧间隔周期,在此状态机,MAC_SEND_FLOW_CONTROL 流控制模可以发送PAUSE帧,减去4'd4是本计数器结束后,距离下一帧发送实际需要还要经过4个时钟周期inter_gap_cnt           <=  4'd0;S_RFIFO                 <=  WAIT_DATA_PACKET;//进入WAIT_DATA_PACKETendelse begininter_gap_cnt           <=  inter_gap_cnt + 1'b1;S_RFIFO                 <=  ADD_IFG;endendWAIT_PAUSE_END:begin//等待暂停结束后重新传输数据if(pause_flag) begin//pause 控制mac_rfifo_data_en       <=  1'b0;S_RFIFO                 <=  WAIT_PAUSE_END;endelse beginmac_rfifo_data_en       <=  1'b1;//暂停结束后,继续读帧FIFO中数据S_RFIFO                 <=  READ_DATA_PACKET;endendendcaseend
endalways@(posedge I_gmii_tclk or posedge I_reset) beginif(I_reset) beginO_gmii_tvalid       <=  1'b0;mac_tdata           <=  8'd0;mac_tdata_crc_en    <=  1'b0;data22_cnt          <=  5'd0;data22_shift_cnt    <=  5'd22;crc_cnt             <=  3'd4;crc_read            <=  1'b0;endelse if(mac_rfifo_data_en) begincase(data22_cnt)//这个阶段移位寄存器进行数据的填充0   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; O_gmii_tvalid    <=  1'b1; data22_shift_cnt  <=  5'd22;end1   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; end2   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; end  3   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; end4   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; end5   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; end6   :begin  mac_tdata   <=  8'h55;    data22_cnt  <=  data22_cnt + 1'b1; end7   :begin  mac_tdata   <=  8'hd5;    data22_cnt  <=  data22_cnt + 1'b1; end8   :begin  mac_tdata   <=  mac_rfifo_data_addr[47:40]; data22_cnt  <=  data22_cnt + 1'b1;mac_tdata_crc_en <=  1'b1; end9   :begin  mac_tdata   <=  mac_rfifo_data_addr[39:32]; data22_cnt  <=  data22_cnt + 1'b1; end10  :begin  mac_tdata   <=  mac_rfifo_data_addr[31:24]; data22_cnt  <=  data22_cnt + 1'b1; end11  :begin  mac_tdata   <=  mac_rfifo_data_addr[23:16]; data22_cnt  <=  data22_cnt + 1'b1; end12  :begin  mac_tdata   <=  mac_rfifo_data_addr[15:8];  data22_cnt  <=  data22_cnt + 1'b1; end13  :begin  mac_tdata   <=  mac_rfifo_data_addr[7:0];   data22_cnt  <=  data22_cnt + 1'b1; end14  :begin  mac_tdata   <=  I_mac_local_addr[47:40];    data22_cnt  <=  data22_cnt + 1'b1; end15  :begin  mac_tdata   <=  I_mac_local_addr[39:32];    data22_cnt  <=  data22_cnt + 1'b1; end16  :begin  mac_tdata   <=  I_mac_local_addr[31:24];    data22_cnt  <=  data22_cnt + 1'b1; end17  :begin  mac_tdata   <=  I_mac_local_addr[23:16];    data22_cnt  <=  data22_cnt + 1'b1; end18  :begin  mac_tdata   <=  I_mac_local_addr[15:8];     data22_cnt  <=  data22_cnt + 1'b1; end19  :begin  mac_tdata   <=  I_mac_local_addr[7:0];      data22_cnt  <=  data22_cnt + 1'b1; end20  :begin  mac_tdata   <=  ether_type[15:8];           data22_cnt  <=  data22_cnt + 1'b1; end21  :begin  mac_tdata   <=  ether_type[7:0];            data22_cnt  <=  data22_cnt + 1'b1; end22  :begin  mac_tdata   <=  mac_tdata_shift_out; enddefault:    data22_cnt  <=  5'd0;endcaseendelse if(!mac_rfifo_data_en) begin//tmac_en=1阶段会读取mac_tx_frame_info_fifo中所有的数据写到移位寄存器,当tmac_en=0,移位寄存器剩余22个有效数据需要移除if(data22_shift_cnt != 5'd0) begin//将移位寄存器组中的剩余22个数据读出 mac_tdata           <=  mac_tdata_shift_out;data22_shift_cnt    <=  data22_shift_cnt - 1'b1;endelse beginif(I_crc32_en && O_gmii_tvalid) begin //开始传送帧的CRC32校验值O_gmii_tvalid       <=  1'b1;data22_cnt          <=  5'd0;mac_tdata_crc_en    <=  1'b0;//停止CRC计算crc_read            <=  1'b1;//开始传输CRC32校验值if(crc_cnt != 3'd0)crc_cnt         <=  crc_cnt - 1'b1;else beginO_gmii_tvalid   <=  1'b0;crc_read        <=  1'b0;//4字节的CRC校验值传输完毕crc_cnt         <=  3'd4;endendelse begin//不进行CRC32校验,无需传输校验值O_gmii_tvalid       <=  1'b0;data22_shift_cnt    <=  5'd0;endend end
end

3.1.3 CRC校验模块

通过网页生成CRC校验代码,将代码稍作修改,得到CRC校验计算模块。注意uimac_rx模块将接收到的CRC校验位也进行CRC校验,若校验计算结果为0则校验正确。

`timescale 1ns / 1ps
module crc32_gen(
input   reset,
input   clk,
input   CRC32_en,         //CRC校验使能信号
input   CRC32_init,       //CRC校验值初始化信号
input   CRC_read, //input   CRC32_valid,      //CRC校验值维持有效
input  [7:0]  data,  
output [7:0]  CRC_out 
);
reg [31:0]   CRC_temp;
assign CRC_out = CRC_read ? ~{CRC_temp[24], CRC_temp[25], CRC_temp[26], CRC_temp[27],CRC_temp[28], CRC_temp[29], CRC_temp[30], CRC_temp[31]} : 8'h00;           always@(posedge clk or posedge reset)         if(reset)CRC_temp <= 32'hffffffff;         else if(CRC32_init)CRC_temp <= 32'hffffffff;else if(CRC32_en)begin
CRC_temp[0]<=CRC_temp[24]^CRC_temp[30]^data[1]^data[7];
CRC_temp[1]<=CRC_temp[25]^CRC_temp[31]^data[0]^data[6]^CRC_temp[24]^CRC_temp[30]^data[1]^data[7];
CRC_temp[2]<=CRC_temp[26]^data[5]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6]^CRC_temp[24]^CRC_temp[30]^data[1]
^data[7];
CRC_temp[3]<=CRC_temp[27]^data[4]^CRC_temp[26]^data[5]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6];
CRC_temp[4]<=CRC_temp[28]^data[3]^CRC_temp[27]^data[4]^CRC_temp[26]^data[5]^CRC_temp[24]^CRC_temp[30]^data[1]
^data[7];
CRC_temp[5]<=CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[27]^data[4]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6]^CRC_temp[24]^CRC_temp[30]^data[1]^data[7];
CRC_temp[6]<=CRC_temp[30]^data[1]^CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[26]^data[5]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6];
CRC_temp[7]<=CRC_temp[31]^data[0]^CRC_temp[29]^data[2]^CRC_temp[27]^data[4]^CRC_temp[26]^data[5]^CRC_temp[24]^data[7];
CRC_temp[8]<=CRC_temp[0]^CRC_temp[28]^data[3]^CRC_temp[27]^data[4]^CRC_temp[25]^data[6]^CRC_temp[24]^data[7];
CRC_temp[9]<=CRC_temp[1]^CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[26]^data[5]^CRC_temp[25]^data[6];
CRC_temp[10]<=CRC_temp[2]^CRC_temp[29]^data[2]^CRC_temp[27]^data[4]^CRC_temp[26]^data[5]^CRC_temp[24]^data[7];
CRC_temp[11]<=CRC_temp[3]^CRC_temp[28]^data[3]^CRC_temp[27]^data[4]^CRC_temp[25]^data[6]^CRC_temp[24]^data[7];
CRC_temp[12]<=CRC_temp[4]^CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[26]^data[5]^CRC_temp[25]^data[6]^CRC_temp[24]^CRC_temp[30]^data[1]^data[7];
CRC_temp[13]<=CRC_temp[5]^CRC_temp[30]^data[1]^CRC_temp[29]^data[2]^CRC_temp[27]^data[4]^CRC_temp[26]^data[5]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6];
CRC_temp[14]<=CRC_temp[6]^CRC_temp[31]^data[0]^CRC_temp[30]^data[1]^CRC_temp[28]^data[3]^CRC_temp[27]^data[4]^CRC_temp[26]^data[5];
CRC_temp[15]<=CRC_temp[7]^CRC_temp[31]^data[0]^CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[27]^data[4];
CRC_temp[16]<=CRC_temp[8]^CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[24]^data[7];
CRC_temp[17]<=CRC_temp[9]^CRC_temp[30]^data[1]^CRC_temp[29]^data[2]^CRC_temp[25]^data[6];
CRC_temp[18]<=CRC_temp[10]^CRC_temp[31]^data[0]^CRC_temp[30]^data[1]^CRC_temp[26]^data[5];
CRC_temp[19]<=CRC_temp[11]^CRC_temp[31]^data[0]^CRC_temp[27]^data[4];
CRC_temp[20]<=CRC_temp[12]^CRC_temp[28]^data[3];
CRC_temp[21]<=CRC_temp[13]^CRC_temp[29]^data[2];
CRC_temp[22]<=CRC_temp[14]^CRC_temp[24]^data[7];
CRC_temp[23]<=CRC_temp[15]^CRC_temp[25]^data[6]^CRC_temp[24]^CRC_temp[30]^data[1]^data[7];
CRC_temp[24]<=CRC_temp[16]^CRC_temp[26]^data[5]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6];
CRC_temp[25]<=CRC_temp[17]^CRC_temp[27]^data[4]^CRC_temp[26]^data[5];
CRC_temp[26]<=CRC_temp[18]^CRC_temp[28]^data[3]^CRC_temp[27]^data[4]^CRC_temp[24]^CRC_temp[30]^data[1]^data[7];
CRC_temp[27]<=CRC_temp[19]^CRC_temp[29]^data[2]^CRC_temp[28]^data[3]^CRC_temp[25]^CRC_temp[31]^data[0]^data[6];
CRC_temp[28]<=CRC_temp[20]^CRC_temp[30]^data[1]^CRC_temp[29]^data[2]^CRC_temp[26]^data[5];
CRC_temp[29]<=CRC_temp[21]^CRC_temp[31]^data[0]^CRC_temp[30]^data[1]^CRC_temp[27]^data[4];
CRC_temp[30]<=CRC_temp[22]^CRC_temp[31]^data[0]^CRC_temp[28]^data[3];
CRC_temp[31]<=CRC_temp[23]^CRC_temp[29]^data[2];endelse if(CRC_read)CRC_temp <= {CRC_temp[23:0], 8'hff};endmodule

3.1.4 PAUSE帧流控制模块

uimac_rx模块接收到PAUSE帧后,会将有效数据段送入mac_tx_frame_ctrl模块进行解析,得到暂停时间和PAUSE帧发送方的MAC地址,将其发送给uimac_tx模块的mac_tx_pause_ctrl子模块。

/*******************************mac_tx_frame_ctrl模块*********************
--以下是米联客设计的mac_tx_frame_ctrl模块,用于产生MAC发送模块的PAUSE暂停发送
1.
*********************************************************************/
`timescale 1ns/1ps
module  uimac_tx_frame_ctrl
(input   wire                I_clk,input   wire                I_reset,input   wire                I_mac_pause_en,input   wire    [7:0]       I_mac_data,output  reg                 O_mac_pause_en,output  reg     [21:0]      O_mac_pause_time//发送MAC停止发送数据的时间
);reg     [15:0]              opcode;
reg     [15:0]              pause_time;//pause_time字段为发送MAC停止发送数据的时间,每单位为512bit传输时间,比如数值为16’d1024表示暂停时间为MAC传输1024*512bit数据所需要的时间
reg     [2:0]               cnt;
reg                         STATE;localparam  READ_FRAME      =   0;
localparam  WAIT_FRAME_END  =   1;localparam  PAUSE_FRAME     =   16'h0001;//操作码,固定值为0x0001always@(posedge I_clk or posedge I_reset) beginif(I_reset) begincnt                 <=  3'd0;opcode              <=  16'd0;pause_time          <=  16'd0;O_mac_pause_en      <=  1'b0;O_mac_pause_time    <=  22'd0;STATE               <=  READ_FRAME;endelse begincase(STATE)READ_FRAME:beginif(I_mac_pause_en)//帧流控制有效case(cnt)0:begin opcode[15: 8]   <=  I_mac_data; cnt <=  cnt + 1'b1;end1:begin opcode[ 7: 0]   <=  I_mac_data;if({opcode[15: 8], I_mac_data} == PAUSE_FRAME) begin//判断是PAUSE帧STATE   <=  READ_FRAME;cnt     <=  cnt + 1'b1;endelse beginSTATE   <=  WAIT_FRAME_END;cnt     <=  3'd0;endend2:begin pause_time[15: 8]   <=  I_mac_data; cnt <=  cnt + 1'b1;end3:begin pause_time[ 7: 0]   <=  I_mac_data; cnt <=  cnt + 1'b1;end//需要暂停发送的时间4:begincnt                 <=  3'd0;opcode              <=  16'd0;pause_time          <=  16'd0;O_mac_pause_en      <=  1'b1;//通知MAC发送控制器,接收到了PAUSE帧O_mac_pause_time    <=  {pause_time, 6'd0};//*512/8 = *64 = *(2^6)STATE               <=  WAIT_FRAME_END;//等待帧结束endendcaseelseSTATE   <=  READ_FRAME;endWAIT_FRAME_END:begin//等待帧结束O_mac_pause_time    <=  22'd0;O_mac_pause_en      <=  1'b0;if(I_mac_pause_en)STATE   <=  WAIT_FRAME_END;elseSTATE   <=  READ_FRAME;endendcaseend
endendmodule

mac_tx_pause_ctrl接收到mac_pasue_en信号为高时,将接收的信息寄存,状态机跳转,等待MAC发送端发送完一帧数据,进入帧间隔等待。当MAC发送模块进入帧间隔状态后,流控模块拉高pause_flag信号,等待暂停时间结束后将信号拉低。

/*******************************mac_tx_pause_ctrl模块*********************
--以下是米联客设计的mac_tx_pause_ctrl MAC发送端,流控制器模块
1.
*********************************************************************/
`timescale 1ns/1ps
module  uimac_tx_pause_ctrl
(input   wire                I_clk,input   wire                I_reset,input   wire    [2:0]       I_mac_state,input   wire                I_mac_pause_en,input   wire    [21:0]      I_mac_pause_time,input   wire    [47:0]      I_mac_pause_addr,output  reg     [47:0]      O_pause_dst_mac_addr,output  reg                 O_pause_flag
);reg     [21:0]          pause_clk_num;
reg     [21:0]          pause_clk_cnt;
reg     [1:0]           STATE;localparam  WAIT_PAUSE_FRAME        =   2'd0;
localparam  WAIT_CURRENT_SEND_DONE  =   2'd1;
localparam  MAC_SEND_PAUSE          =   2'd2;localparam  ADD_IFG     =   3'd4;always@(posedge I_clk or posedge I_reset) beginif(I_reset) beginpause_clk_num           <=  22'd0;pause_clk_cnt           <=  22'd0;O_pause_flag            <=  1'b0;O_pause_dst_mac_addr    <=  48'd0;STATE                   <=  WAIT_PAUSE_FRAME;endelse begincase(STATE)WAIT_PAUSE_FRAME:begin//等待PAUSE帧O_pause_flag    <=  1'b0;if(I_mac_pause_en) begin    //MAC接收模块接收到PAUSE帧O_pause_dst_mac_addr    <=  I_mac_pause_addr;//MAC发送模块需要发送PAUSE的目的MAC地址        pause_clk_num           <=  I_mac_pause_time;//PAUSE时间,在MAC接收端已经换算好需要PAUSE的时钟周期个数STATE                   <=  WAIT_CURRENT_SEND_DONE;endelse beginO_pause_dst_mac_addr    <=  48'd0;pause_clk_num           <=  22'd0;STATE                   <=  WAIT_PAUSE_FRAME;                   endendWAIT_CURRENT_SEND_DONE:begin//等待当MAC发送状态机在I_mac_state == ADD_IFG状态的时候,设置O_pause_flag标志if(I_mac_state == ADD_IFG) beginO_pause_flag            <=  1'b1;//设置O_pause_flag,通知MAC 帧发送模块,暂停数据发送STATE                   <=  MAC_SEND_PAUSE;endelse beginO_pause_flag            <=  1'b0;STATE                   <=  WAIT_CURRENT_SEND_DONE;endendMAC_SEND_PAUSE:begin//暂停数据发送,等待(pause_clk_num - 3)个时钟周期if(pause_clk_cnt == (pause_clk_num - 3)) beginO_pause_flag            <=  1'b0;O_pause_dst_mac_addr    <=  48'd0;pause_clk_cnt           <=  22'd0;pause_clk_num           <=  22'd0;STATE                   <=  WAIT_PAUSE_FRAME;endelse beginO_pause_flag            <=  1'b1;//设置O_pause_flag,通知MAC 帧发送模块,暂停数据发送pause_clk_cnt           <=  pause_clk_cnt + 1'b1;STATE                   <=  MAC_SEND_PAUSE;endendendcaseend
endendmodule

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/392078.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

用Python实现炫酷的代码雨效果(完整代码)

导语 在这个数字时代&#xff0c;编程不仅是一项技能&#xff0c;更是一种艺术。想象一下&#xff0c;在你的屏幕上&#xff0c;一行行代码如同雨滴般落下&#xff0c;闪烁着技术的光芒&#xff0c;是不是既酷炫又充满科技感&#xff1f;今天&#xff0c;我们就将使用 Python …

哪个品牌的超声波清洗机最好?好用的超声波清洗机排名

随着人们对生活质量的提升&#xff0c;对健康也是越来越关注了&#xff0c;很多眼镜佩戴者也逐渐对眼部健康逐渐重视起来了&#xff0c;因为眼镜镜片的缝隙很容易有大量的灰尘和细菌&#xff0c;而超声波清洗机的出现&#xff0c;恰恰是可以针对于这些缝隙污垢清洁的&#xff0…

Android:Uniapp平台中接入即构RTC+相芯美颜

0 前言 前阵子使用Uniapp平台开发了一个跨平台app&#xff0c;并且接入了即构RTC后&#xff0c;今天想进一步丰富app的直播功能。之前有相芯美颜的开发经验&#xff0c;打算将相芯美颜接入即构RTC. **在DCloud插件市场找到了在即构RTC接入相芯美颜插件&#xff0c;https://ex…

CasaOS系统小主机Docker部署memos结合内网穿透打造私有云笔记

文章目录 前言1. 使用Docker部署memos2. 注册账号与简单操作演示3. 安装cpolar内网穿透4. 创建公网地址5. 创建固定公网地址 前言 本文主要介绍如何在CasaOS轻NAS系统设备中使用Docker本地部署开源云笔记服务memos&#xff0c;并结合cpolar内网穿透工具配置公网地址&#xff0…

50etf期权怎么可以买跌做空吗?

50ETF期权可以做买方也可以做卖方&#xff0c;并且50ETF期权还能够买涨买跌双向交易&#xff0c;50ETF期权可以看涨期权和看跌期权&#xff0c;所以50ETF期权是可以买跌做空的&#xff0c;并且50ETF期权是很适合进行做空操作的&#xff0c;下文为大家介绍50etf期权怎么可以买跌…

SQL进阶技巧:Hive如何巧解和差计算的递归问题?【应用案例2】

目录 0 问题描述 1 数据准备 2 问题分析 3 小结 0 问题描述 累计值分析模型是一种用于分析和预测累计值数据的统计模型。它主要用于处理随时间积累的数据,例如销售额、用户数量、网站访问量等。累计值分析模型的目的是通过对历史数据的分析,揭示数据的趋势和模式,以便进…

日撸Java三百行(day13:链表)

目录 一、链表的基础知识 二、链表的代码实现 1.链表创建 2.链表遍历 3.链表定位查找 4.链表插入 5.链表删除 6.数据测试 7.完整的程序代码 总结 一、链表的基础知识 在之前顺序表的学习中&#xff0c;我们其实提到过链表。链表它是线性表在不同的物理存储方式下派生…

谷歌账号被停用后,申诉没有反馈或者被拒绝后怎么办?附:谷歌账号申诉信要点和模板

有一些朋友在登录谷歌账号的时候&#xff0c;或者在是用谷歌账号的过程中突然被强制退出来&#xff0c;然后再次登录的时候就遇到了下面的提醒&#xff1a;您的账号已停用&#xff0c;而且原因通常是两大类&#xff1a;1&#xff09;谷歌账号与其他多个账号一起创建或使用的&am…

将网络变压器(Ethernet Transformer)从千兆单口设计改为百兆双口设计涉及几个关键步骤和注意事项

变压器选型&#xff1a; 确保选用的变压器支持1000BASE-T到100BASE-TX的转换。通常&#xff0c;这种变压器会有额外的电气特性&#xff0c;如抑制和隔离等&#xff0c;以确保数据传输的可靠性和稳定性。 端口连接&#xff1a; 对于千兆单口设计&#xff0c;通常会有一对输入和输…

【python报错已解决】`AttributeError: ‘DataFrame‘ object has no attribute ‘ix‘`

&#x1f3ac; 鸽芷咕&#xff1a;个人主页 &#x1f525; 个人专栏: 《C干货基地》《粉丝福利》 ⛺️生活的理想&#xff0c;就是为了理想的生活! 引言&#xff1a; 在使用Pandas库进行数据分析时&#xff0c;你是否遇到过AttributeError: DataFrame object has no attribut…

本地安装Llama3.1与LobeChat可视化UI界面并实现远程访问大模型实战

&#x1f49d;&#x1f49d;&#x1f49d;欢迎来到我的博客&#xff0c;很高兴能够在这里和您见面&#xff01;希望您在这里可以感受到一份轻松愉快的氛围&#xff0c;不仅可以获得有趣的内容和知识&#xff0c;也可以畅所欲言、分享您的想法和见解。 推荐:kwan 的首页,持续学…

企业定制AI智能名片微信小程序在私域流量运营中的应用与策略

摘要&#xff1a;随着2022年私域运营市场步入冷静期&#xff0c;企业逐渐从盲目模仿向精准化、个性化的运营模式转变。在这一背景下&#xff0c;企业定制AI智能名片微信小程序凭借其独特的智能化、便捷化特性&#xff0c;成为企业构建私域流量池、深化用户关系、实现高效转化的…

【完美解决】正点原子Linux开发板无法联网ping通百度但可以ping通主机和虚拟机,联通了局域网但无法联通互联网,DNS配置问题

问题记录 主机通过共享网络给以太网口想让正点原子的阿尔法Linux开发板连上网&#xff0c;网络配置过程如下&#xff1a; 开发板连接的是eth1口&#xff0c;通过在终端输入以下命令进入网络配置文件。 vi /etc/network/interfaces 将其配置为了以下地址 但是出现了一些问题&…

RAG 入门指南:从零开始构建一个 RAG 系统

本文正文字数约 3300 字&#xff0c;阅读时间 10 分钟。 从零开始构建一个应用可以让我们快速理解应用的各个部分。 这个方法其实非常适用于 RAG。 我在以前的文章中有介绍过 RAG 的概念、原理以及应用等&#xff0c;但其实&#xff0c;亲自动手来构建一个 RAG 系统或许能够…

C语言指针详解(三)目录版

C语言指针详解&#xff08;三&#xff09;目录版 1、字符指针变量1.1、字符指针变量的一般应用1.2、常量字符串1.3、常量字符串与普通字符串的区别1.3.1 常量字符串的不可修改性1.3.2 常量字符串的存储 2、数组指针变量2.1、数组指针变量定义2.2、数组指针变量的初始化 3、二维…

图的DFS

LeetCode2368 受限条件下可到达节点的数目 class Solution { public:int dfs(vector<vector<int>>& g,int x,int fa){int sum1;for(int y:g[x]){if(y!fa) sumdfs(g,y,x);}return sum;}int reachableNodes(int n, vector<vector<int>>& edges, …

C语言指针(3)

目录 一、字符指针变量 二、数组指针变量 三、⼆维数组传参的本质 四、函数指针变量 五、typedef 关键字 六、函数指针数组 一、字符指针变量 字符指针char* &符号名 符号名&#xff0c;这都是获取的是首元素地址。 int main() {char a[] "abcdef";cha…

另一棵树的子树 - 力扣(LeetCode)C语言

572. 另一棵树的子树 - 力扣&#xff08;LeetCode&#xff09;&#xff08;点击前面链接即可查看题目&#xff09; 一、题目 给你两棵二叉树 root 和 subRoot 。检验 root 中是否包含和 subRoot 具有相同结构和节点值的子树。如果存在&#xff0c;返回 true &#xff1b;否则&…

机器学习中的关键距离度量及其应用

引言 在当今的数据驱动世界中&#xff0c;机器学习算法扮演着至关重要的角色&#xff0c;它们在图像分类、面部识别、在线内容审核、零售目录优化和推荐系统等多个领域发挥着重要作用。这些算法的核心在于它们能够识别和利用数据之间的相似性。而实现这一点的关键&#xff0c;…

ShardingSphere 内核工作原理

文章目录 内核工作原理配置管控SQL Parser: SQL解析引擎SQL Router- SQL 路由引擎SQL Rewriter : SQL 优化引擎SQL Executor &#xff1a; SQL执行引擎Result Merger&#xff1a; 结果归并 内核工作原理 ShardingSphere的整体架构图是这样的&#xff1a; 配置管控 在进入Shar…