FPGA知识基础之--clocking wizard ip核的使用以及modelsim与vivado联合仿真

目录

  • 前言
  • 一、ip核是什么?
    • 1.1 定义
    • 1.2 分类
  • 二、为什么使用ip核
    • 2.1 ip核的优点
    • 2.2 ip核的缺点
  • 三、如何使用ip核(vivado)
  • 四、举例(clocking wizard ip核)
    • 4.1 简介
    • 4.2 实验任务
    • 4.3 程序设计
      • 4.3.1 系统模块
      • 4.3.2 波形绘制
      • 4.3.3 ip核设置
      • 4.3.4 代码编写
        • RTL代码
        • 仿真代码
    • 4.4 仿真
      • 4.4.1 vivado仿真
      • 4.4.1 vivado和modelsim联合仿真(推荐)


前言


IP核,全称知识产权核(Intellectual Property core),是在集成电路(IC)设计中可重用的功能模块,其存在形式通常为逻辑单元或芯片设计的可重用模块。这些模块经过预先设计、验证并具备特定的功能,可以被授权给不同的设计者使用,以加速芯片设计过程,提高设计效率,并降低开发成本。

一、ip核是什么?

1.1 定义

IP核是半导体产业中用于ASIC(专用集成电路)或FPGA(现场可编程门阵列)中的预先设计好的电路功能模块。它们可以被视为构建复杂芯片设计的“积木”。

1.2 分类

根据产品交付方式和实现方法的不同,IP核主要分为三类:软核(Soft IP)、固核(Firm IP)和硬核(Hard IP)。

  • 软核:以硬件描述语言(HDL)源文件的形式存在,如Verilog或VHDL。软核设计周期短,设计投入少,灵活性和适应性强,但后续工序可能需要一定程度的修正,且知识产权保护问题需要重视。
  • 固核:介于软核和硬核之间,完成了综合的功能块,以网表形式交付。固核对时序要求严格的内核进行了优化,如预布线特定信号或分配特定的布线资源。
  • 硬核:提供设计的最终阶段产品——掩膜(Mask),以经过完全布局布线的网表形式存在。硬核具有可预见性,针对特定工艺、功耗和尺寸进行了优化,易于实现IP保护,但灵活性和可移植性差。

二、为什么使用ip核

2.1 ip核的优点

  • 提高开发效率,IP核将一些在数字电路中常用但比较复杂的功能块(如FIR滤波器、SDRAM控制器、PCI接口等)设计成可修改参数的模块,设计者可以直接调用这些预先设计好的功能模块,从而避免了重复劳动,大大提高了开发效率。
  • 减少设计和调试时间:由于IP核已经过验证和优化,设计者在使用时可以直接集成到自己的设计中,无需从头开始设计和调试这些功能模块,从而显著减少了整体项目的设计和调试时间。
  • 加速开发进程:随着CPLD/FPGA等可编程逻辑器件的规模越来越大,设计越来越复杂,设计者的主要任务是在规定的时间周期内完成复杂的设计。IP核的重用使得设计者能够更快速地完成设计任务,加速了产品的上市进程。
  • 降低开发成本:虽然一些高价值的IP核可能需要额外的费用,但从整体开发成本来看,使用IP核仍然能够降低开发成本。因为IP核的重用减少了设计过程中的人力、物力和时间投入,降低了设计失败的风险,从而间接降低了开发成本。
  • 增强设计的灵活性和适应性:软核和固核形式的IP核允许设计者在一定范围内对参数进行修改和定制,以适应不同的设计需求。这种灵活性使得设计者能够更好地应对市场变化和客户需求的多样性。
  • 易于实现IP保护:硬核形式的IP核以经过完全的布局布线的网表形式提供,这种形式的IP核更易于实现知识产权的保护。因为硬核已经完成了所有的物理实现工作,设计者无法直接看到其内部的核心代码和电路结构,从而减少了知识产权被侵犯的风险。
    综上所述IP核在提高开发效率、减少设计和调试时间、加速开发进程、降低开发成本、增强设计的灵活性和适应性以及易于实现IP保护等方面具有显著的优点。这些优点使得IP核在当前的EDA技术开发中得到了广泛的应用和推广。

2.2 ip核的缺点

  • 可移植性差
  • 功能调整空间小
  • 部分需要付费使用

三、如何使用ip核(vivado)

在这里插入图片描述

在这里插入图片描述
以fifo举例
在这里插入图片描述

四、举例(clocking wizard ip核)

** ip核之PLL实验**

4.1 简介

在Xilinx FPGA中,特别是7系列FPGA,CMT(Clock Management Tile,时钟管理块)是一个关键组成部分,它对于实现高性能、低抖动的时钟网络至关重要。每个CMT都包含一个混合模式时钟管理器(MMCM)和一个锁相环(PLL)。这些模块共同提供时钟频率合成、抖动滤波以及时钟去斜等功能,以满足FPGA设计中复杂的时钟需求。
在这里插入图片描述

MMCM在PLL的基础上加入了DCM的一部分以进行精细的位移,加上了相位动态调整功能
PLL(phase locked loop锁相环)是一种时钟反馈控制电路,特点是利用外部输入的参考信号控制环路内部振荡信号的频率和相位

4.2 实验任务

本次的实验任务是使用开发板输出4路不同频率或相位的时钟,时钟分别为100MHZ,100MHZ(相位偏移180度),50MHZ和25MHZ。将四路时钟分别输出至拓展口的端口,并通过示波器来测量输出结果是否正确

4.3 程序设计

4.3.1 系统模块

在这里插入图片描述

4.3.2 波形绘制

在这里插入图片描述

4.3.3 ip核设置

先在ip核库里找到clocking wizard
在这里插入图片描述
进行ip核第一项配置
在这里插入图片描述

第二项配置

在这里插入图片描述

后续都保持默认即可

4.3.4 代码编写

RTL代码

需要注意的是,使用ip核即只需编写顶层模块即可。

module ip_clk_wiz (
input               sys_clk,
input               sys_rst_n, output              clk_100m,
output              clk_100m_180deg,
output              clk_50m,
output              clk_25m
);wire        locked;
wire        rst_n;assign   rst_n = sys_rst_n & locked;clk_wiz_0 u_clk_wiz_0(.clk_out1         (clk_100m),     .clk_out2        (clk_100m_180deg),     .clk_out3         (clk_50m),     .clk_out4         (clk_25m),     .reset            (~sys_rst_n), .locked           (locked),       .clk_in1          (sys_clk)      
);endmodule
仿真代码
`timescale	1ns/1ns 
module tb_ip_clk_wiz();parameter CLK_PERIOD = 20;reg 		sys_clk;  
reg 		sys_rst_n; wire 			clk_100m;
wire 			clk_100m_180deg;
wire 			clk_50m;
wire 			clk_25m;initial beginsys_clk <= 1'b0;sys_rst_n <=1'b0;#200 sys_rst_n <= 1'b1;
endalways #(CLK_PERIOD/2) sys_clk = ~sys_clk;ip_clk_wiz   u_ip_clk_wiz (.sys_clk     		(sys_clk),.sys_rst_n   		(sys_rst_n),.clk_100m_180deg	(clk_100m_180deg),.clk_50m			(clk_50m),.clk_25m			(clk_25m),.clk_100m			(clk_100m));endmodule

4.4 仿真

4.4.1 vivado仿真

将设计文件和仿真文件导入vivado上后,即可直接开始仿真

在这里插入图片描述
需要注意的是,要将仿真时间设置的稍微长一些,笔者设置的是20us

在这里插入图片描述
点击开始仿真,即可观察到波形
在这里插入图片描述
观察波形后发现,和预设条件相符合

4.4.1 vivado和modelsim联合仿真(推荐)

首先生成vivado库
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
点击编译即可,过程需要等待半个小时左右
编译好之后即可打开工程
在这里插入图片描述
在这里插入图片描述
设置好之后,点击仿真,会自动跳转到modelsim进行仿真
在这里插入图片描述

符合预期!验证成功

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/392677.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

连接数据库报错bad handshake

堡垒机账号没有授权访问权限

2024最新最全面的Selenium 3.0 + Python自动化测试框架

文档说明 Selenium是一个用于Web应用程序自动化测试的工具。Selenium测试直接运行在浏览器中&#xff0c;就像真正的用户在操作一样。 Selenium测试的主要功能包括&#xff1a; 测试与浏览器的兼容性&#xff1a;测试应用程序是否能很好的工作在不同的浏览器和操作系统之上。…

云计算学习——5G网络技术

系列文章目录 提示&#xff1a;仅用于个人学习&#xff0c;进行查漏补缺使用。 Day1 网络参考模型 Day2 网络综合布线与应用 Day3 IP地址 Day4 华为eNSP网络设备模拟器的基础安装及简单使用 Day5 交换机的基本原理与配置 Day6 路由器的原理与配置 Day7 网络层协议介绍一 Day8 …

Jpa-多表关联-OneToOne

Jpa-多表关联-OneToOne 准备JoinColumnOneToOne属性targetEntitycascade*PERSISTMERGEREMOVEREFRESH orphanRemovalfetchoptionalMappedBy* OneToOne在 hibernate中用于对表与表之间进行维护关联 准备 import com.alibaba.fastjson.JSON; import jakarta.persistence.*; impor…

AI8-文本检测

文本检测任务是找出图像或视频中的文字位置。不同于目标检测任务,目标检测不仅要解决定位问题,还要 解决目标分类问题。 文本在图像中的表现形式可以视为一种‘目标‘,通用的目标检测的方法也适用于文本检测,从任务本身上来 看: • 目标检测:给定图像或者视频,找出目…

本地部署 faster-whisper

本地部署 faster-whisper 1. 创建虚拟环境2. 安装依赖模块3. 创建 Web UI4. 启动 Web UI5. 访问 Web UI 1. 创建虚拟环境 conda create -n faster-whisper python3.11 -y conda activate faster-whisper2. 安装依赖模块 pip install torch2.2.2 torchvision0.17.2 torchaudio…

bilibili实习生一面0625

OSI七层模型 物理层&#xff1a;将帧中的比特传送到下一个节点&#xff08;比特&#xff09; 数据链路层&#xff1a;将数据包装成帧并传送到路径上下一个节点&#xff0c;将相邻节点间不可靠的物理链路变成可靠的逻辑链路&#xff08;帧&#xff09; 网络层&#xff1a;路由寻…

Qt 5.14安装(配置MSVC 2017)

Qt 5.14安装&#xff08;配置MSVC 2017&#xff09; 记录一下自己安装配置Qt的步骤。 我需要安装Qt&#xff0c;并使用MSVC编译&#xff0c;所以才写了这篇文章。 一、环境 操作系统&#xff1a;windows 11 &#xff08;64位&#xff09; Qt&#xff1a;Qt 5.14.2 vs&…

怎么读取FRM、MYD、MYI数据文件

一、介绍frm、MYD、MYI文件 在MySQL中&#xff0c;使用MyISAM存储引擎时&#xff0c;数据库表会被分割成几个不同的文件文件描述功能扩展名FRM 文件表结构定义文件存储表的结构信息&#xff0c;字段、索引等.FRMMYD 文件数据文件包含表的实际数据.MYD&#xff08;MYData&#x…

[米联客-安路飞龙DR1-FPSOC] UDP通信篇连载-04 IP层程序设计

软件版本&#xff1a;Anlogic -TD5.9.1-DR1_ES1.1 操作系统&#xff1a;WIN10 64bit 硬件平台&#xff1a;适用安路(Anlogic)FPGA 实验平台&#xff1a;米联客-MLK-L1-CZ06-DR1M90G开发板 板卡获取平台&#xff1a;https://milianke.tmall.com/ 登录“米联客”FPGA社区 ht…

学习笔记-MYSQL 事务

目录 一、什么是事务&#xff1f; 二、事务的四个特征&#xff08;ACID&#xff09;【面试常考项】 原子性&#xff08;Atomicity&#xff09; 一致性&#xff08;Consistency&#xff09; 隔离性&#xff08;Isolation&#xff09; 持久性&#xff08;Durability&#x…

Linux-理解shell

文章目录 5. 理解shell5.1 shell的类型5.2 交互shell和系统默认shell5.3 安装zsh shell程序5.4 shell的父子关系5.5 命令列表5.6 命令分组5.7 使用命令分组创建子shell5.8 子shell用法5.9 shell的非内建命令和内建命令5.9.1 非内建命令5.9.2 内建命令5.9.3 history和alias命令介…

AI9-文本识别

本章主要介绍文本识别算法的理论知识,包括背景介绍、算法分类和部分经典论文思路。 通过本章的学习,你可以掌握: 1. 文本识别的目标 2. 文本识别算法的分类 3. 各类算法的典型思想 1 背景介绍 文本识别是OCR(Optical Character Recognition)的一个子任务,其任务为识别一个…

基于 Redis 的分布式信号量 Spring Boot 集成 Redisson 使用 Semaphore 控制并发访问数量

目录 前言 pom yml Controller 演示 注意 前言 工作中开发过一个服务&#xff0c;这里记作A服务&#xff0c;主要功能是配置&#xff0c;部署以及调用云函数。其中配置云函数的功能里&#xff0c;有一个配置项是并发数&#xff0c;意思是同一时间最多能有多少个请求调用…

【MATLAB源码】数学建模基础教程(2)--层次分析法(评价类算法)

系列文章目录在最后面&#xff0c;各位同仁感兴趣可以看看&#xff01; 层次分析法 引言一、层次分析法的特点二、模型的建立求解过程 (1)问题的提出&#xff1a;实际问题的转化(2)建立层次结构模型(3)构造判断(成对比较)矩阵(4)一致性检验&#xff1a;三、层次分析法的优点与…

Codeforces Round 871 (Div. 4)(A~H)

比赛链接 Dashboard - Codeforces Round 871 (Div. 4) - Codeforces A. Love Story 找到与codeforces 有多少个不同的字符。 #include<bits/stdc.h> #define int long long #define TEST int T; cin >> T; while (T--) #define ios ios::sync_with_stdio(fals…

前端常用的性能优化方案

目录 性能分析工具lighthouseWebpack Bundle分析 开发阶段按需引入路由懒加载 打包阶段打包配置减少包体积配置压缩分包 资源预加载/预请求 部署阶段开启http2静态资源缓存gzip压缩 性能优化主要在三个阶段进行&#xff1a;开发阶段、开发结束后的打包阶段、项目部署上线阶段 首…

提升生产效率:APS高级计划排程系统在车间工序级排程的革命性应用

在制造业的数字化转型浪潮中&#xff0c;APS高级计划排程系统以凭借自身卓越的排程运算能力和应用灵活性&#xff0c;已经成为中大型制造业提升生产效率的关键工具。APS系统的介入&#xff0c;打通了传统ERP和MES等各类业务系统运营平台&#xff0c;并且通过产能均衡规划&#…

为什么我3d模型选择面选不到?---模大狮模型网

在展览3D模型设计行业中&#xff0c;设计师常常面临诸多技术挑战&#xff0c;其中之一是在模型编辑过程中遇到选择面的困难。这不仅影响了设计工作效率&#xff0c;还可能影响最终作品的质量和展示效果。本文将探讨在3D模型设计中为何会遇到“为什么我3D模型选择面选不到?”这…

【MySQL进阶篇】管理

1、系统数据库 MySQL数据库安装完成之后&#xff0c;自带以下四个数据库&#xff0c;具体作用如下&#xff1a; 数据库含义mysql存储MySQL服务器正常运行所需要的各种信息&#xff08;时区、主从、用户、权限等&#xff09;information_schema提供了访问数据库元数据的各种表…