讲个SystemVerilog disable语句的坑

前言

记录个使用SystemVerilog disable语句时遇到的坑,这个坑有点反直觉,以至于我当时有点不信,觉得可能是EDA仿真工具的问题。后来查看了SystemVerilog手册和使用不同EDA工具进行验证,才慢慢接受了。结论是:SystemVerilog disable block_name或task时,会把hierarchy一致的block_name或task的线程都停掉。

正文

为了阐述这个问题,先看以下代码例子:

class disable_class extends uvm_object;int gcnt = 10;function new(string name = "disable_class");super.new(name);endfunction : newtask abc();begin : thread1$display("%m %s time[%0t] thread1 begin new", get_name(), $time);#100ns$display("%m %s time[%0t] thread1 end new", get_name(), $time);endendtasktask main();forkbegin : thread1$display("%m %s time[%0t] thread1 begin", get_name(), $time);#6ns;$display("%m %s time[%0t] thread1 end", get_name(), $time);endbegin : thread2#gcnt;disable thread1;$display("%s time[%0t] thread2 end, %m", get_name(), $time);endabc();join$display("%s time[%0t] main task end", get_name(), $time);endtask : mainendclass : disable_class

disable_class类中main task()使用fork…join启动了3个线程:

  • thread1为延迟6ns退出;
  • thread2延迟gcnt时间后,使用disable把thread1停掉,至于thread2有没有机会把thread1停掉,得看变量gcnt的值。如果gcnt小于6ns,那么在执行到disable thread1语句时,thread1早就结束了。如果gcnt大于6ns,那么thread2还是可以把thread1停掉的;
  • thread3调用task abc(),abc()任务里面定义了名为thread1的block块,延迟100ns后退出;

测试代码如下:

disable_class dc1 = new("dc1");
disable_class dc2 = new("dc2");
dc1.gcnt = 2;
dc2.gcnt = 8;
forkdc1.main();dc2.main();begin : thread1$display("m example time[%0t] thread1 begin", $time);#20ns$display("%m example time[%0t] thread1 end", $time);end
join

disable_class类例化了两次,使用dc1和dc2句柄指向它们。dc1句柄的gcnt为2,dc2句柄的gcnt为8。然后使用fork启动了三个线程,前两个线程分别调用了dc1和dc2的main() task。第三个定义了名称thread1的block块,延迟20ns后退出。

仿真结果如下:

example_pkg::disable_class.main.thread1 dc1 time[0.000ns] thread1 begin
example_pkg::disable_class.abc.thread1 dc1 time[0.000ns] thread1 begin new
example_pkg::disable_class.main.thread1 dc2 time[0.000ns] thread1 begin
example_pkg::disable_class.abc.thread1 dc2 time[0.000ns] thread1 begin new
example_pkg::example_agent.run_phase.thread1 example time[0.000ns] thread1 begin
dc1 time[2.000ns] thread2 end, example_pkg::disable_class.main.thread2
dc2 time[8.000ns] thread2 end, example_pkg::disable_class.main.thread2
example_pkg::example_agent.run_phase.thread1 example time[20.000ns] thread1 end
example_pkg::disable_class.abc.thread1 dc1 time[100.000ns] thread1 end new
dc1 time[100.000ns] main task end
example_pkg::disable_class.abc.thread1 dc2 time[100.000ns] thread1 end new
dc2 time[100.000ns] main task end

在0ns时,dc1的main()/abc() task、dc2的main()/abc() task和测试代码thread1其开始执行并打印出响应消息。

在2ns时,dc1的thread2结束,根据disable_class类可知,这时候thread1被disable了,因此dc1的thread1的“$display("%m %s time[%0t] thread1 end", get_name(), $time);”代码无法被执行到。这里就有个疑问了:dc2的thread1是否也会被disable吗?答案是也会被disable。因此仿真结果中,虽然dc1 thread2执行了disable thread1,但dc2中thread1也无法打印出”thread1 end”相关的消息了。这里就是本文的重点了,在$display打印中我们用%m,把thread1的hierarchy也打印出来,我们可以发现,dc1和dc2虽然是两个不同的句柄,但是它们thread1的层次还是一模一样的,都是example_pkg::disable_class.main.thread1。SystemVerilog disable block_name的方式会把所有hierarchy一致的block_name都停掉的,故而我们可以看到20ns和100ns的example_pkg::example_agent.run_phase.thread1和example_pkg::disable_class.abc.thread1都没有被disable掉(因为虽然它们block_name都是thread1,但是它们hierarchy不一致的)。

总结

SystemVerilog disable block_name或task时,会把hierarchy一致的block_name或task的线程都停掉。不管一个class例化多少次,这些句柄内部的block_name和task的hierarchy还是一样的,因此只要其中任何一个句柄调用了disable block_name或task,那么其它句柄的对应线程也会被disable掉。这些行为如果我们提前知道,并就想利用这个特性做达成某些功能倒还好,但如果提前不了解,可能会产生很多意想不到的错误行为。其实为了精准的控制线程状态,推荐大家可以用process的内置方法(self(), status(), kill(), await()等等)来进行。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/414042.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

ctfshow之web58~web71

目录 web58 思路一: 思路二: 思路三: web59~web65 web66~web67 web68~web70 web71 web58 if(isset($_POST[c])){$c $_POST[c];eval($c); }else{highlight_file(__FILE__); } PHP eval() 函数介绍 定义和用法 eval() 函数把字符串按…

新型蜜罐有哪些?未来方向如何?

前言:技术发展为时代带来变革,同时技术创新性对蜜罐产生推动力。 一、新型蜜罐的诞生 技术发展为时代带来变革,同时技术创新性对蜜罐产生推动力,通过借鉴不同技术思想、方法,与其它技术结合形成优势互补,…

python内置模块time详解(我们需要了解的多种时间格式)

Python的time模块提供了各种与时间相关的函数。我们可以获取当前时间、操作时间日期、计算两个时间差等。 时间有两种标准表示法: 数字表示: 整数或浮点数,通常是自从1970年1月1日以来的秒数。9个整数组成的元组:元组项包含年份,月份&#…

Diffusion 模型生成图片太慢了?用 AE(AutoEncoder) 和 VAE 加速一波!

Diffusion 模型生成图片太慢了?用 AE(AutoEncoder) 和 VAE(Variational AutoEncoder) 压缩图片加速一波! 本文分为两个部分:理论学习和代码实践。 先预览一下 AE 和 VAE 模型的效果: 理论学习 本文价值 Diffusion 模型生成图片的效…

图像识别智能垃圾桶项目开发--语音命令识别垃圾

一、项目思维导图 二、语音模块配置信息 三、项目程序 main.c garbage.c garbage.h uartTool.c //串口发送数据 uartTool.h

台式机CPU温度90℃以上-排查思路

虽然现在台式机不值钱。 但是对于穷苦老百姓来说,还是害怕它坏掉,坏掉就又需要花钱买了。 ①风扇清理所有灰尘(风扇的散热网是可以拆下来的), 主板清理所有灰尘(用画笔或者干燥的牙刷,注意是…

编程效率进阶:打造你专属的 Git 别名与 PyCharm 完美结合

在日常开发中,Git 是我们不可或缺的工具。掌握常用 Git 命令可以帮助我们更高效地进行版本控制,但随着命令的复杂性增加,记住所有命令变得困难。这时,Git 别名的设置就显得尤为重要。此外,许多开发者使用 PyCharm 作为…

TypeScript与vue

一、为组件的props标注类型 - 在没有使用TS之前,是这样接受props: - 在TS环境中,是这样接受props: - 对于props的可选项如何限制呢? 1、类型限制 类型限制在接收的时候就已经定义好了 2、可选属性(必填限制…

c# net8调用vc写的dll

dll程序(vc,x86) 头文件 extern "C" int __declspec(dllexport) WINAPI add(int a, int b);实现 int WINAPI add(int a, int b) {return a b; }c#/net8 函数声明: [DllImport("dll/Dll1.dll", CallingConvention CallingCo…

c++中的匿名对象及内存管理及模版初阶

目录 c中的匿名对象 日期到天数的转换 深入理解析构 深入理解拷贝构造 内存管理 全局变量和static变量的区别; malloc/calloc/realloc的区别 new和delete的意义? operator new与operator delete函数 对比malloc和new operator 定制operator ne…

switch语句和while循环

switch语句和while循环 switch语句break的用法default的用法switch语句中的case和default的顺序问题 while语句while语句的执行流程while语句的具体例子 switch语句 switch 语句是⼀种特殊形式的 if…else 结构,用于判断条件有多个结果的情况。它把多重 的 else if…

Markdown语法与Latex公式汇总

1 基本语法 1.1 标题 语法如下: 效果如下: 1.2 字体样式 语法效果普通正文字体普通正文字体*倾斜字体*倾斜字体**加粗字体**加粗字体***倾斜加粗字体***倾斜字体~~划线字体~~倾斜字体 1.3 分割线 语法如下: 效果如下: …

鸿蒙项目签名配置

配置需要以下四个文件: 1. p12文件 2. csr文件 3. cer文件 打开AGC平台 点击申请调试证书 4. p7b文件 最后在项目中进行配置 配置项目的module.json5中

NASAVIIRS数据下载

目录 Visible Infrared Imaging Radiometer Suite(VIIRS)NOAA 数据下载用户注册 数据查找1.选择产品类型2. 数据索引3. 提交订单 Visible Infrared Imaging Radiometer Suite(VIIRS) 来自 Suomi NPP 的可见光红外成像辐射计套件 …

学习如何更好向GPT提问

如今以chatgpt为首的各种语言大模型应运而出,已经逐渐应用到平时的工作和学习中,但就算是使用同一种大模型提问同一个问题也有不同的答案,如何更快更好的获取优质答案是应该关心和学习的重点。 本文在《成为提问工程师》书的基础上加以整理总…

【Python系列】 Python 中的枚举使用

💝💝💝欢迎来到我的博客,很高兴能够在这里和您见面!希望您在这里可以感受到一份轻松愉快的氛围,不仅可以获得有趣的内容和知识,也可以畅所欲言、分享您的想法和见解。 推荐:kwan 的首页,持续学…

求解组合优化问题的具有递归特征的无监督图神经网络

文章目录 ABSTRACT1 Introduction2 Related Work3 QRF-GNN方法4 数值实验4.1 MAX-CUT4.2 COLORING5 conclusionABSTRACT 介绍了一种名为QRF-GNN的新型算法,有效解决具有二次无约束二进制优化(QUBO)表述的组合问题。依赖无监督学习,从最小化的QUBO放松导出的损失函数。该架构…

【软件工程】软件生命周期(生存周期)

考点3 软件生命周期(生存周期) 一、定义 软件产品或软件系统从设计、投入使用到被淘汰的全过程。 二、3周期 题目 一、判断题 二、选择题

mac电脑里面的 磁盘分区,容器,宗卷,宗卷组的理解和使用

在mac电脑里面我们一般都是使用宗卷,他和我们常见的pc机器硬盘的分区是有区别的。 对于物理硬盘来说 不管是分区还是宗卷,他们都是逻辑上面的概念。 分区 mac电脑里面的分区 和 pc电脑中的分区差不多, 他们都是针对的物理硬盘,…

Linux系列之基础篇

文章目录 开篇明义基础篇实际操作篇高级篇Linux之JavaEELinux在哪些地方使用Linux应用领域 Linux入门基本介绍Linux和Unix关系 VM和Linux的安装基本说明安装VM17.5安装Centos7.6网络连接解释 虚拟机克隆虚拟机快照虚拟机迁移和删除安装vmtools设置共享文件夹 Linux目录结构 开篇…