024 - STM32学习笔记 - 液晶屏控制(一) - LTDC与DMA2D初始

024- STM32学习笔记 - LTDC控制液晶屏

在学习如何控制液晶屏之前,先了解一下显示屏的分类,按照目前市场上存在的各种屏幕材质,主要分为CRT阴极射线管显示屏、LCD液晶显示屏、LED显示屏、OLED显示屏,在F429的开发板上,配套的是LCD液晶显示屏(分辨率800*480)。

LCD液晶显示屏,是通过给内部的液晶(一种介于液态与固态之间,但其分子排列和和固态晶体一样规则的有机化合物)施加电场,改变其分子排列,从而改变光线传播方向,配合前后两片偏振光片以及RGB滤光片,可以控制其颜色,再通过改变电压大小,控制透光率,从而改变颜色的深浅。每个RGB为一个像素点,很多个RGB通过组合后,可以组合出来很多种颜色。

LCD本身不发光,因此在LCD屏幕的背后都会有一块背光板(白光),而且因为液晶的特性,所以我们经常会看到因为存储液晶的容器一旦破裂,会出现漏液或者漏光的情况。LCD在颜色变幻时,因为液晶晶体转动需要消耗时间,因此我们会感觉到LCD屏幕的响应时间会比较慢。

剩下关于LED和OLED在这里就不做介绍了,有兴趣的可以去找一些相关资料看看。

一、显示器基本参数

这里关于显示器需要了解的点主要有以下几个:

1、像素:

像素是图像的最基本要素,也是显示器的最小显示单位(点)。

2、分辨率:

分辨率表示的是显示器上“行像素数 * 列像素数”,例如:1920*1080表示的是每行有1920个像素点,每列有1080个像素点,同样可以理解为一共有1920列,1080行,因此该显示器的总像素数就是1920 * 1080 = 2073600个像素点。

3、色彩深度:

这个参数很多人应该都没注意到,色彩深度指的是显示器的每个像素点能表示多少中颜色,比如路边商铺上常用的LED单色显示屏来说,单色屏的每个像素点只有亮或灭两种状态,因此只需要一个数据位就可以表示其所有状态,所以单色屏的色彩深度为1bit,其余我们见到的彩色显示屏的深度一般为16bit、24bit。

4、显示器尺寸:

显示器尺寸大小以寸(英寸)为单位表示,如:3.7寸、7寸、32寸等,是屏幕对角线的长度,对角线的长度结合屏幕的长宽比(例如16:9)就可以确定显示器的具体长度和宽度了。

5、点距:

点距表示的是两个相邻像素点之间的间距,在相同尺寸的屏幕下,点距越小,屏幕的分辨率越高,相应的制造工艺越复杂,成本也越高。

二、液晶控制原理

我们这里用到的显示屏,是由液晶显示面板、电容触摸面板以及PCB底板组成。触摸面板带有触摸控制芯片,与液晶面板引出的排线连接到PCB底板上,通过液晶控制器芯片与STM32进行通讯,部分STM32不自带液晶控制器,因此显示器需自带,我这里使用的F429集成了液晶控制器,因此配套的显示器并没有液晶控制器。

在液晶面板上,引出的控制信号线如下:

信号名称说明
R[7:0]红色像素控制信号
G[7:0]绿色像素控制信号
B[7:0]蓝色像素控制信号
CLK像素同步时钟信号
HSYNC水平同步信号
VSYNC垂直同步信号
DE数据使能信号

1、RGB信号线

RGB信号线每个通道各有8根,分别表示每个像素点的红、绿、蓝颜色的分量,因此每个像素点的每个通道色彩分量可以表示为0-255种,比如RGB565表示红绿蓝三个通道数据线分别是5、6、5根,数据位一共16,因此可表示为216种颜色,色彩深度为16bit,而我用到的液晶屏三种颜色通道数据线各有8根,因此可显示颜色为224种,色彩深度为24bit。

2、同步时钟信号CLK

液晶屏与外部适用同步通讯方式,以CLK信号作为同步时钟,每个时钟传输一个像素点的数据。

3、水平同步信号HSYNC

水平同步信号表示液晶屏一行像素数据的传输结束,每次传输完成液晶屏一行像素数据时,HSYNC都会发生一次电平跳变,比如分辨率为800x480分辨率的显示屏,传输一帧不想时HSYNC的电平会跳变480次,这里注意跳变次数与行数是对应的。

4、垂直同步信号VSYNC

垂直同步信号是指每一帧像素数据传输结束后,VSYNC会发生一次跳变,也就是说,当液晶屏上显示一次完整的图像时,VSYNC会发生跳变,玩哪些3A大作游戏的伙伴们,一般在挑选显示器时,会关注刷新率的参数,这个刷新率例如60Hz、144Hz等,表示的就是每秒刷新多少次,即触发多少次VSYNC跳变。

5、数据使能信号DE

数据使能信号表示数据的有效性,当DE信号线为高电平时,RGV信号线表示的数据有效。

三、液晶数据传输时序

向液晶屏传输数据时,我们可以将液晶屏上面的像素点按照行去展开,例如800*480分辨率的显示屏。展开后第1个像素点为第1行第1列的像素点,第481个像素点为第2行第1列的像素点,由此往下类推,这时候我们想象出来一个指针,这个指针指向下一个要显示的像素点,这个指针的扫描方向在显示屏上应该是由左到右、从上向下的一个点一个点的移动,当指向像素点时,通过DE使能信号的使能下,将RGB信号线的数据发送到指向的像素点,再在CLK时钟的驱动下移向下一个像素点,每传输一行像素数据时,水平同步信号HSYNC触发一次,当所有像素点全部传输完成后,垂直同步信号HSYNC再触发一次,之后指针再次移回到最开始的位置,即第一行第一列。

这里我们是将显示屏上的像素点拉成一条线去说明的,但是实际上显示指针在行与行、帧与帧之间切换存在一定的延迟,并且水平同步和垂直同步信号本身有一定的宽度,这些时间参数如下表:

时间参数参数说明
水平后沿(VBP:vertical back porch)表示一帧图像开始时,垂直同步信号以后的无效行数
水平前沿(VFP:vertical front porch)表示一帧图像结束后,垂直同步信号以前的无效行数
垂直后沿(HBP:horizontal back porch)表示从水平同步信号开始到有效数据开始前的CLK的个数
垂直前延(HFP:horizontal front porch)表示一行有效数据就鳄属到下一个水平同步信号开始之间的CLK的个数
垂直信号宽度(VSW:vertical sync width)表示垂直同步信号的宽度,单位为行
水平信号宽度(HSW:horizontal sync width)表示水平同步信号的宽度,单位为同步时钟CLK的个数

看这个表,我估计多数人会比较懵,用一张图来分析一下各个时间参数表示的含义:

在这里插入图片描述

因为生产制造工艺的问题,显示屏整个显示区域中,并不是所有像素点都是可用的,如上图中,实际上关于上表中的各项时间参数,可以认为是为了对非有效显示区域的限制,在这里使能控制信号,只有像素指针到了有效显示区域内,才会变为高电平,其余位置均低电平。

四、显存

这里说的显存是指屏幕中所有像素点的颜色数据缓存起来,再传给显示屏,这种存储显示数据的存储器被称为显存,显存的容量至少要能存储显示器的一帧显示数据,分辨率为800*400的液晶屏如果使用RGB888格式显示,则其一帧数据大小为(8+8+8)x 800 x 480 = 9216000bit / 8 = 1152000Byte,如果适用RGB565格式显示,则一帧图像数据大小为(5+6+5)x 800 x 480 = 6144000bit / 8 = 768000Byte。

五、LTDC液晶控制器

上面提到F429本身自带液晶控制器,可以适用SDRAM的部分空间作为显存,不需要液晶屏再增加液晶控制器芯片了。ST,32的LTDC液晶控制器最高支持800 x 600分辨率的像素,支持多种颜色格式,包括RGB888、RGB565、ARGB8888和ARGB1555(A表示透明像素);支持2层显示数据混合,可以利用这个特性制作出背景和前景分离的效果,比如背景播放视频,前景显示字幕。

如果需要适用数据混合功能,前景曾必须适用包含透明的像素格式,如ARGB8888或者ARGB1555,里面的字母和数字是对应的,比如ARGB1555表示的是透明像素层(A)只用1位表示像素透明或者不透明,后面的几位则是表示RGB通道的颜色深度,而ARGB8888里面,透明像素则可以表示透明深度。

关于液晶屏这里,需要注意的是液晶屏本、身是没有透明度的参数的,比如24位液晶屏RGB888,只有RGB颜色各对应的8根数据线,不存在表示透明度的数据线,所以实际上ARGB也只是针对于内部分层数据处理的格式,通过液晶控制器混合计算出直接颜色数据通过RGB三根数据线发送给液晶屏显示,这里就跟我们使用PS软件绘图时,通过图层混合后,最终输出的jpg的图片一样。

关于LTDC这里通过下面几个部分来进行学习:

LTDC结构:

LTDC主要包含信号线、图像处理单元、寄存器以及时钟信号,其结构如下图:

在这里插入图片描述

1、LTDC信号线:

LTDC信号线与液晶屏的数据线时一一对应的,包含RGB数据线、水平&垂直同步信号线、时钟信号线以及使能信号线,下表是查询《STM32F4XX规格书》整理出来的对应引脚号。

引脚号LTDC 信号引脚号LTDC 信号引脚号LTDC 信号引脚号LTDC 信号
PA3LCD_B5PE11LCD_G3PH14LCD_G3PJ4LCD_R5
PA4LCD_VSYNCPE12LCD_B4PH15LCD_G4PJ5LCD_R6
PA6LCD_G2PE13LCD_DEPI0LCD_G5PJ6LCD_R7
PA8LCD_R6PE14LCD_CLKPI1LCD_G6PJ7LCD_G0
PA11LCD_R4PE15LCD_R7PI2LCD_G7PJ8LCD_G1
PA12LCD_R5PF10LCD_DEPI4LCD_B4PJ9LCD_G2
PB8LCD_B6PG6LCD_R7PI5LCD_B5PJ10LCD_G3
PB9LCD_B7PG7LCD_CLKPI6LCD_B6PJ11LCD_G4
PB10LCD_G4PG10LCD_B2PI7LCD_B7PJ12LCD_B0
PB11LCDG5PG11LCD_B3PI9LCD_VSYNCPJ13LCD_B1
PC6LCD_HSYNCPG12LCD_B1PI10LCD_HSYNCPJ14LCD_B2
PC7LCD_G6PH2LCD_R0PI12LCD_HSYNCPJ15LCD_B3
PC10LCD_R2PH3LCD_R1PI13LCD_VSYNCPK0LCD_G5
PD3LCD_G7PH8LCD_R2PI14LCD_CLKPK1LCD_G6
PD6LCD_B2PH9LCD_R3PI15LCD_R0PK2LCD_G7
PD10LCD_B3PH10LCD_R4PJ0LCD_R1PK3LCD_B4
PE4LCD_B0PH11LCD_R5PJ1LCD_R2PK4LCD_B5
PE5LCD_G0PH12LCD_R6PJ2LCD_R3PK5LCD_B6
PE6LCD_G1PH13LCD_G2PJ3LCD_R4PK6LCD_B7
2、图像处理单元

在上图中②表示的是图像处理单元,通过AHB接口获取显存中的数据,然后按照分层把数据发送到两个”层FIFO“缓存中,将每个FIFO可以缓存64*32位的数据,紧接着再由缓存中获取数据交给PFC(像素格式转换器),将数据从像素格式转换成字(ARGB8888)格式,通过混合单元将两层数据合并,再通过信号线将混合得到的单层数据发送到液晶屏上。

输出数据前,数据还要经过一个抖动单元,作用是当输出数据的色彩深度大于显示屏实际的色彩深度时,对像素颜色进行舍入操作,比如,当向16位显示器上输入24位色彩深度的数据时,抖动单元会把像素数据的低8位(24-16)数据与阈值比较,若大于阈值,则向第9位进1,否则直接舍掉后面的8位。

3、配置和状态寄存器

图中标4的表示的是LTDC的控制逻辑,包含了LTDC的各种配置和状态寄存器。需要配置的参数有通讯时信号线的有效电平、各种时间参数、有效数据宽度、像素格式及显存地址等等,LTDC通过这些配置控制输出,将AHB接口从显存位置搬运过来的数据输出到显示器,同时可以通过用于指示当前显示状态和位置的状态寄存器去获取LTDC的工作状态。

4、时钟信号

LTDC使用到3中时钟信号,AHB时钟、APB2时钟及像素时钟LCD_CLK,其中AHB时钟用于驱动数据从存储器存储到FIFO,APB2时钟用于驱动LTDC的驱动器,而LCD_CLK则是与显示器的通讯同步时钟,时钟树如下图:

在这里插入图片描述

这里可以看到LCD_CLK来源于HSE,经过M分频因子输出到PLLSAI分频器,有PLLSAI中的倍频因子N得到”LCD-TFT clock“,这里的”LCD-TFT clock“就是通讯中的同步时钟LCD_CLK,通过LCD_CLK引脚输出,即PI14引脚。

六、DMA2D图形加速器

实际使用LTDC控制液晶屏显示的时候,往配置好的显存地址中写入要显示的像素数据,LTDC会将这些数据从显存经过一系列处理后在发送到液晶屏,但是上面我们通过计算,发现每帧显示的数据量非常大,如果仅仅是单帧数据的话还好说,如果是视频类的图像,对于处理器来说工作量是相当大的,之前学习过DMA外设,STM32针对于这个需求,专门定制了用于图像数据搬运的DMA2D外设,可以快速绘制矩形、直线、分层数据混合、数据复制以及图像数据格式转换,是图像专用的DMA外设。

DMA2D的结构框图与LTDC结构中的图像处理单元很类似,主要为分层FIFO、PFC及彩色混合器。

在这里插入图片描述

1、FG FIFO与BG FIFO

FG FIFO与BG FIFO是两个64*32位大小的缓冲区,分别用于缓冲前景层和背景层从AHB总线获取的像素数据。AHB总线的数据源一般是SDRAM也就是说再LTDC外设中配置的前景层和背景层的数据源地址一般指向SDRAM的存储空间,使用SDRAM的部分空间作为显存。

2、FG PFC和BG PFC

FG PFC和BG PFC是两个像素格式转换器,分别用于前景层和背景层的像素格式转换,不管从FIFO的数据源格式如何,通过其转换后都为ARGB8888格式(32位)。

图中的“α”表示Alpha(透明度),经过PFC处理后,透明度会被扩展位8位格式。

图中的CLUT表示颜色查找表,是一种间接的颜色表示方式,使用一个256*32位的空间缓存256种颜色,颜色格式是ARGB8888或RGB888,实际图像只是用这256种颜色,图像的每个像素点使用8位数据表示,但是该数据不是直接的RGB颜色,而是指向颜色查找表的地址便宜,可以理解为像素点应该显示的颜色对应的是颜色查找表里面的颜色,在图像大小不变的情况下,利用颜色查找表i可以扩展颜色显示的能力,特点就是可以用8位的数据表示一个24或者32位的颜色,整个图像种的颜色种类受限于颜色查找表中的256种。DMA2D可以通过CPU自行加载或者编程手动加载。

在这里插入图片描述

3、混合器

FIFO中的数据通过PFC像素格式转换器后,前景层和背景层的图像都输入到混合器种运算,运算公式如下:

在这里插入图片描述

混合器的运算主要使用前景和背景的透明度作为因子,对像素的RGB颜色进行加权运算,通过混合器将两层数据合为一层ARGB8888格式的图像。

4、OUT PFC

OUT OFC是输出像素格式转换器,是将上面混合器种得到的图像转换成目标格式,比如ARGB8888、RGB888、RGB565、ARGB1555或ARGB4444,具体的格式根据需要再输出PFC控制今存其DMA2D_OPFCCR种选择。

F429使用通过LTDC、DMA2D以及存储器,构成一个完整的液晶控制器,LTDC不断刷新液晶屏,DMA2D搬运图像数据、混合及格式转换,RAM存储器作为显存,显存可以使用STM32内部SRAM或者外扩SDRAM/SRAM。

OK,关于液晶屏、LTDC以及DMA2D的内容就学习到这里,下一节开始实测控制显示屏显示图像。
PFC控制今存其DMA2D_OPFCCR种选择。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/131602.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Linux HTTP协议

目录 1.浏览器与服务器通信过程2.HTTP请求报头(1)HTTP的请求报头结构(2)HTTP的请求方法 3.HTTP应答报头(1)HTTP的应答报头结构(2) HTTP的应答状态 1.浏览器与服务器通信过程 浏览器…

Unity3D之动态生成指定数量带间隔的地面

文章目录 准备代码实现实现效果 准备 空物体生成脚本地面预制体 代码实现 using System.Collections; using System.Collections.Generic; using System.Runtime.CompilerServices; using UnityEngine;public class CreateGround : MonoBehaviour {[SerializeField]public i…

Java版的数据结构——栈和队列

目录 1. 栈(Stack) 1.1 概念 1.2 栈的使用 1.3 栈的模拟实现 1.4 栈的应用场景 1.4.1 改变元素的序列 1.4.2 将递归转化为循环 2. 队列(Queue) 2.1 概念 2.2 队列的使用 2.3 队列模拟实现 2.4 循环队列 3. 双端队列&…

Navicat15 /16 已连接数据库密码解密

前言 相信你会遇到使用navicat忘记已连接数据密码的问题吧!实在是,密码太多容易忘记!!! 感谢大佬as_dmy的文章如何查看navicat已连接数据库密码,然后才有了此文! 1.0版本需要手动查看导出的co…

垃圾收集算法

1.如何判断对象是否存活? 1.1引用计数算法 基本思路: 在对象中添加一个引用计数器每当有一个地方引用它的时候,计数器就加1每当有一个引用失效的时候,计数器就减-1当计数器的值为0的时候,那么该对象就是可被GC回收的…

vue基础知识八:为什么data属性是一个函数而不是一个对象?

一、实例和组件定义data的区别 vue实例的时候定义data属性既可以是一个对象,也可以是一个函数 const app new Vue({el:"#app",// 对象格式data:{foo:"foo"},// 函数格式data(){return {foo:"foo"}} })组件中定义data属性&#xff…

网站文章生成技术-网站文章生成工具免费

大家好,今天我想和大家分享一些关于网站文章生成的疑虑和期待。作为一个常常需要在网站上发布文章的人,我对这项技术的发展充满了好奇和担忧。在这篇文章中,我将坦率地表达我的想法,希望能引发一些思考。 让我谈一谈我的疑虑。网站…

基于SSM的农产品仓库管理系统设计与实现

末尾获取源码 开发语言:Java Java开发工具:JDK1.8 后端框架:SSM 前端:采用JSP技术开发 数据库:MySQL5.7和Navicat管理工具结合 服务器:Tomcat8.5 开发软件:IDEA / Eclipse 是否Maven项目&#x…

TypeScript命名空间和模块

🎬 岸边的风:个人主页 🔥 个人专栏 :《 VUE 》 《 javaScript 》 ⛺️ 生活的理想,就是为了理想的生活 ! 目录 命名空间(Namespace) 命名空间(Namespace)使用场景 第三方库 兼容…

【C语言】【strcpy的使用和模拟实现】

1.strcpy的使用: char* strcpy(char* destination,const char* source)返回类型是字符指针,参数是接受方字符串的首地址和要拷贝的字符串的首地址 从接受地的‘\0’开始拷贝,会将源字符串中的’\0’也拷贝过来目标空间必须足够大&#xff0…

【JavaEE】_CSS引入方式与选择器

目录 1. 基本语法格式 2. 引入方式 2.1 内部样式 2.2 内联样式 2.3 外部样式 3. 基础选择器 3.1 标签选择器 3.2 类选择器 3.3 ID选择器 4. 复合选择器 4.1 后代选择器 4.2 子选择器 4.3 并集选择器 4.4 伪类选择器 1. 基本语法格式 选择器若干属性声明 2. 引入…

terraform简单的开始-安装和一些配置

terraform的安装: 官方下载: 浏览器打开terraform官方主页https://www.terraform.io/ 点击Download Terraform 跳转到程序下载页面: 找到自己对应的操作系统,按照操作系统选择安装terraform的方式: linux为例&…

LabVIEW利用人工神经网络辅助进行结冰检测

LabVIEW利用人工神经网络辅助进行结冰检测 结冰对各个领域构成重大威胁,包括但不限于航空航天和风力涡轮机行业。在起飞过程中,飞机机翼上轻微积冰会导致升力降低25%。研究报告称,涡轮叶片上的冰堆积可在19个月的运行时间内造成29MWh的功率损…

《86盒应用于家居中控》——实现智能家居的灵动掌控

近年来,智能家居产品受到越来越多消费者的关注,其便捷、舒适的生活方式让人们对未来生活充满期待。作为智能家居方案领域的方案商,启明智显生产设计的86盒凭借出色的性能和良好的用户体验,成功应用于家居中控系统,让家…

数据在内存中的存储——练习3

题目&#xff1a; 3.1 #include <stdio.h> int main() {char a -128;printf("%u\n",a);return 0; }3.2 #include <stdio.h> int main() {char a 128;printf("%u\n",a);return 0; }思路分析&#xff1a; 首先二者极其相似%u是无符号格式进行…

基于SSM的旅游网站系统

基于SSM的旅游网站系统【附源码文档】、前后端分离 开发语言&#xff1a;Java数据库&#xff1a;MySQL技术&#xff1a;SpringSpringMVCMyBatisVue工具&#xff1a;IDEA/Ecilpse、Navicat、Maven 【主要功能】 角色&#xff1a;管理员、用户 管理员&#xff1a;用户管理、景点…

【Linux】多线程互斥与同步

文章目录 一、线程互斥1. 线程互斥的引出2. 互斥量3. 互斥锁的实现原理 二、可重入和线程安全三、线程和互斥锁的封装1. 线程封装1. 互斥锁封装 四、死锁1. 死锁的概念2. 死锁的四个必要条件3. 避免死锁 五、线程同步1. 线程同步的理解2. 条件变量 一、线程互斥 1. 线程互斥的…

教你制作作业查询系统

嗨&#xff0c;各位老师们&#xff0c;今天我要给你们介绍一个超级方便的工具——易查分&#xff01;你知道吗&#xff0c;利用易查分&#xff0c;我们可以轻松制作一个便捷高效的作业查询系统哦&#xff01; 是不是想有个自己的分班or成绩查询页面&#xff1f;博主给老师们争取…

使用js搭建简易的WebRTC实现视频直播

首先需要一个信令服务器&#xff0c;我们使用nodejs来搭建。两个端&#xff1a;发送端和接收端。我的目录结构如下图&#xff1a;流程 创建一个文件夹 WebRTC-Test。进入文件夹中&#xff0c;新建一个node的文件夹。使用终端并进入node的目录下&#xff0c;使用 npm init 创建p…

01-从JDK源码级别剖析JVM类加载机制

上一篇&#xff1a;JVM虚拟机调优大全 1. 类加载运行全过程 当我们用java命令运行某个类的main函数启动程序时&#xff0c;首先需要通过类加载器把主类加载到JVM。 public class Math {public static final int initData 666;public static User user new User();public i…