HC32 IIC/I2C读写

IIC状态码

IIC 初始化

void iicInit(uint32_t speed)
{stc_gpio_cfg_t stcGpioCfg;DDL_ZERO_STRUCT(stcGpioCfg);Sysctrl_SetPeripheralGate(SysctrlPeripheralGpio, TRUE);  //开启GPIO时钟门控stcGpioCfg.enDir = GpioDirOut;                           ///< 端口方向配置->输出stcGpioCfg.enOD = GpioOdEnable;                          ///< 开漏输出stcGpioCfg.enPu = GpioPuEnable;                          ///< 端口上拉配置->使能stcGpioCfg.enPd = GpioPdDisable;                         ///< 端口下拉配置->禁止Gpio_Init(GpioPortB, GpioPin8, &stcGpioCfg);             ///< 端口初始化Gpio_Init(GpioPortB, GpioPin9, &stcGpioCfg);Gpio_SetAfMode(GpioPortB, GpioPin8, GpioAf1);            ///< 配置PB08为SCLGpio_SetAfMode(GpioPortB, GpioPin9, GpioAf1);            ///< 配置PB09为SDAstc_i2c_cfg_t stcI2cCfg;DDL_ZERO_STRUCT(stcI2cCfg);                            ///< 初始化结构体变量的值为0Sysctrl_SetPeripheralGate(SysctrlPeripheralI2c0, TRUE); ///< 开启I2C0时钟门控stcI2cCfg.u32Pclk = Sysctrl_GetPClkFreq();             ///< 获取PCLK时钟stcI2cCfg.u32Baud = speed;                           ///< 1MHzstcI2cCfg.enMode = I2cMasterMode;                      ///< 主机模式stcI2cCfg.u8SlaveAddr = SLAVE_ADDR;             ///< 从地址,主模式无效stcI2cCfg.bGc = FALSE;                                 ///< 广播地址应答使能关闭I2C_Init(M0P_I2C0, &stcI2cCfg);                        ///< 模块初始化
}

IIC读写

/********************************************************************************** 主机接收函数** @param: I2CX** @param: I2C_DEVADDR    从机设备地址** @param:register_addr  读取寄存器addr** @param:pu8Data        读取addr的 data值** @param: u32Len         读取长度********************************************************************************/
en_result_t I2C_MasterReadData(M0P_I2C_TypeDef *I2CX, uint8_t I2C_DEVADDR, uint8_t register_addr, uint8_t *pu8Data, uint32_t u32Len)
{en_result_t enRet = Error;uint8_t u8i = 0, u8State;I2C_SetFunc(I2CX, I2cStart_En);while (1){while (0 == I2C_GetIrq(I2CX)){;}u8State = I2C_GetState(I2CX);switch (u8State){case 0x08:                                    //已发送起始条件,将发送SLA+RI2C_ClearFunc(I2CX, I2cStart_En);I2C_WriteByte(I2CX, (I2C_DEVADDR));       //发送SLA+Wbreak;case 0x18:                                    //已发送SLA+W,并接收到ACKI2C_WriteByte(I2CX, register_addr);       //发送内存地址break;case 0x28:                                    //已发送数据,接收到ACKI2C_SetFunc(I2CX, I2cStart_En);break;case 0x10:                                    //已发送重复起始条件I2C_ClearFunc(I2CX, I2cStart_En);I2C_WriteByte(I2CX, (I2C_DEVADDR) | 0x01); //读命令发送break;case 0x40:                                    //已发送SLA+R,并接收到ACKif (u32Len > 1){I2C_SetFunc(I2CX, I2cAck_En);}break;case 0x50:                                    //已接收数据字节,并已返回ACK信号pu8Data[u8i++] = I2C_ReadByte(I2CX);if (u8i == u32Len - 1){I2C_ClearFunc(I2CX, I2cAck_En);       //读数据时,倒数第二个字节ACK关闭}break;case 0x58:                                    //已接收到最后一个数据,NACK已返回pu8Data[u8i++] = I2C_ReadByte(I2CX);I2C_SetFunc(I2CX, I2cStop_En);            //发送停止条件break;case 0x38:                                    //在发送地址或数据时,仲裁丢失I2C_SetFunc(I2CX, I2cStart_En);           //当总线空闲时发起起始条件break;case 0x48:                                    //发送SLA+R后,收到一个NACKI2C_SetFunc(I2CX, I2cStop_En);I2C_SetFunc(I2CX, I2cStart_En);break;default:                                      //其他错误状态,重新发送起始条件I2C_SetFunc(I2CX, I2cStart_En);           //其他错误状态,重新发送起始条件break;}I2C_ClearIrq(I2CX);                               //清除中断状态标志位if (u8i == u32Len)                                //数据全部读取完成,跳出while循环{break;}}enRet = Ok;return enRet;
}/********************************************************************************** 主机发送函数** @param: I2CX** @param: I2C_DEVADDR    从机设备地址** @param:register_addr  写入寄存器addr** @param:pu8Data        写入addr的 data值** @param: u32Len         写入长度********************************************************************************/
en_result_t I2C_MasterWriteData(M0P_I2C_TypeDef *I2CX, uint8_t I2C_DEVADDR, uint8_t register_addr, uint8_t *pu8Data, uint32_t u32Len)
{en_result_t enRet = Error;uint8_t u8i = 0, u8State;I2C_SetFunc(I2CX, I2cStart_En);while (1){while (0 == I2C_GetIrq(I2CX)){;}u8State = I2C_GetState(I2CX);switch (u8State){case 0x08:                                 ///已发送起始条件I2C_ClearFunc(I2CX, I2cStart_En);I2C_WriteByte(I2CX, (I2C_DEVADDR));    ///从设备地址发送break;case 0x18:                                 ///已发送SLA+W,并接收到ACKI2C_WriteByte(I2CX, register_addr);break;case 0x28:                                 ///上一次发送数据后接收到ACKI2C_WriteByte(I2CX, pu8Data[u8i++]);break;case 0x20:                                 ///上一次发送SLA+W后,收到NACKcase 0x38:                                 ///上一次在SLA+读或写时丢失仲裁I2C_SetFunc(I2CX, I2cStart_En);        ///当I2C总线空闲时发送起始条件break;case 0x30:                                 ///已发送I2Cx_DATA中的数据,收到NACK,将传输一个STOP条件I2C_SetFunc(I2CX, I2cStop_En);         ///发送停止条件break;default:break;}if (u8i > u32Len){I2C_SetFunc(I2CX, I2cStop_En);             ///此顺序不能调换,出停止条件I2C_ClearIrq(I2CX);break;}I2C_ClearIrq(I2CX);                            ///清除中断状态标志位}enRet = Ok;return enRet;
}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/143584.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【2023最新版】超详细NMAP安装保姆级教程,Nmap的介绍、功能并进行网络扫描,收藏这一篇就够了

简介 从诞生之初&#xff0c;Nmap就一直是网络发现和攻击界面测绘的首选工具。从主机发现和端口扫描&#xff0c;到操作系统检测和IDS规避/欺骗&#xff0c;Nmap是大大小小黑客行动的基本工具。 为了绘制网络拓扑图&#xff0c;Nmap的发送特制的数据包到目标主机&#xff0c;…

【Amazon】AI 代码生成器—Amazon CodeWhisperer初体验 | 开启开挂编程之旅

使用 AI 编码配套应用程序更快、更安全地构建应用程序 文章目录 1.1 Amazon CodeWhisperper简介1.2 Amazon CodeWhisperer 定价2.1 打开VS Code2.2 安装AWS ToolKit插件 一、前言 1.1 Amazon CodeWhisperper简介 1️⃣更快地完成更多工作 CodeWhisperer 经过数十亿行代码的训…

目标检测如何演变:从区域提议和 Haar 级联到零样本技术

目录 一、说明 二、目标检测路线图 2.1 路线图&#xff08;一般&#xff09; 2.2 路线图&#xff08;更传统的方法&#xff09; 2.3 路线图&#xff08;深度学习方法&#xff09; 2.4 对象检测指标的改进 三、传统检测方法 3.1 维奥拉-琼斯探测器 (2001) 3.2 HOG探测器…

为什么u盘在mac上显示不出来

插入U盘是个看似简单的操作&#xff0c;但有时候在Mac电脑上却出现了无法显示U盘的情况。这样的问题是非常让人头疼的&#xff0c;特别是当你急需使用U盘中的文件时。那么&#xff0c;究竟为什么U盘在Mac上会显示不出来呢&#xff1f;今天就让我们一起来深入了解一下这个问题&a…

编程新时代:Amazon CodeWhisperer 助您轻松驾驭代码世界

文章目录 一、什么是 Amazon CodeWhisperer&#xff1f;二、个人无限免费使用三、安装配置3.1 手把手教你在pycharm配置3.2 同理在VSCODE安装 三、Pycharm上测试3.1 根据注释写代码3.2 检查修复代码错误3.3 构建一个简单爬虫 四、 VSCODE上测试4.1 个性化体验4.2 系统兼容性4.3…

【再识C进阶3(下)】详细地认识字符分类函数,字符转换函数和内存函数

前言 &#x1f493;作者简介&#xff1a; 加油&#xff0c;旭杏&#xff0c;目前大二&#xff0c;正在学习C&#xff0c;数据结构等&#x1f440; &#x1f493;作者主页&#xff1a;加油&#xff0c;旭杏的主页&#x1f440; ⏩本文收录在&#xff1a;再识C进阶的专栏&#x1…

vuereact质检工具(eslint)安装使用总结

1、ESLint ESLint工具主要类似java中的checkStyle和findbugs&#xff0c;是检查代码样式和逻辑规范的工具。 1.1、ESLint安装流程 打开VSCode软件&#xff0c;打开扩展中心&#xff0c;下载ESLint插件 图1.1 点击后面的install按进行安装&#xff0c;如图1.2所示&#xff1…

黑马VUE3视频笔记

目录 一、使用create-vue创建项目 二、setup选项 三、reactive和ref函数 1.reactive() 2.ref() 三、computed 四、watch ​五、生命周期函数 六、父传子、子传父 父传子defineProps 子传父defineEmits 七、模板引用 ref defineExpose 八、跨层传递普通数据 prov…

SpringBoot 学习(二)配置

2. SpringBoot 配置 2.1 配置文件类型 配置文件用于修改 SpringBoot 的默认配置。 2.1.1 properties 文件 **properties ** 是属性文件后缀。 文件名&#xff1a;application.properties 只能保存键值对。 基础语法&#xff1a;keyvalue namewhy注入配置类 Component //…

百度SEO优化不稳定的原因分析(提升网站排名的稳定性)

百度SEO优化不稳定介绍蘑菇号-www.mooogu.cn SEO不稳定是指网站在搜索引擎中的排名不稳定&#xff0c;随着时间的推移会发生变化。这种情况可能会出现在网站页面结构、内容质量、外链质量等方面存在缺陷或不合理之处。因此&#xff0c;优化SEO非常重要&#xff0c;可以提高网站…

Cruise 的界面和模型文件路径介绍

文章目录 打开 Cruise自带模型所在路径自带模型分类Cruise 中的模型路径解析打开用户手册建模界面介绍打开模型 打开 Cruise 最新的几个 Cruise 软件都是集成到一个平台上的&#xff0c;名为 AVL Advanced Simulation Desktop。 自带模型所在路径 User 选项卡下的模型&#x…

Spring实现简单的Bean容器

1.BeanDefinition&#xff0c;用于定义 Bean 实例化信息&#xff0c;现在的实现是以一个 Object 存放对象 public class BeanDefinition {/*** bean对象*/private Object bean;/*** 存放 &#xff08;定义&#xff09;Bean 对象*/public BeanDefinition(Object bean) {this.bea…

关于TUM数据集

2、验证回环检测算法&#xff0c;需要有人工标记回环的数据集。然而人工标记回环是很不方便的&#xff0c;我们会考虑根据标准轨迹计算回环。即&#xff0c;如果轨迹中有两个帧的位姿非常相近&#xff0c;就认为它们是回环。请根据TUM数据集给出的标准轨迹&#xff0c;计算出一…

怎么选择伪原创工具?伪原创工具推荐

什么是伪原创工具&#xff1f;伪原创工具是一种可以将已有文本进行修改、改写或重新组合&#xff0c;生成新的文本内容的工具。 伪原创工具的作用 节省时间和精力&#xff1a;手工创作内容需要耗费大量时间和精力&#xff0c;而伪原创工具可以在短时间内生成大量内容&#xf…

嵌入式中如何用C语言操作sqlite3(07)

sqlite3编程接口非常多&#xff0c;对于初学者来说&#xff0c;我们暂时只需要掌握常用的几个函数&#xff0c;其他函数自然就知道如何使用了。 数据库 本篇假设数据库为my.db,有数据表student。 nonamescore4嵌入式开发爱好者89.0 创建表格语句如下&#xff1a; CREATE T…

C++中实现雪花算法来在秒级以及毫秒及时间内生成唯一id

1、雪花算法原理 雪花算法&#xff08;Snowflake Algorithm&#xff09;是一种用于生成唯一ID的算法&#xff0c;通常用于分布式系统中&#xff0c;以确保生成的ID在整个分布式系统中具有唯一性。它的名称来源于雪花的形状&#xff0c;因为生成的ID通常是64位的整数&#xff0…

【数据结构】哈希表(详)

文章目录 前言正文一、基本概念二、基本原理1.哈希函数1.1直接定址法&#xff08;常用&#xff09;1.2除留余数法&#xff08;常用&#xff09;1.3 平方取中法&#xff08;了解&#xff09;1.4 折叠法(了解)1.5 随机数法(了解)1.6数学分析法(了解) 2.哈希冲突2.1 平均查找长度2…

QT配置MySQL数据库 ninja: build stopped: subcommand failed

QT配置MySQL数据库 我当前的软件版本&#xff1a;QT Creator 10.0.2 (community)&#xff0c;MingW 6.4.3 (QT6)&#xff0c;MySQL 8.0。 MySQL不配置支持的数据库有QList("QSQLITE", "QODBC", "QPSQL")&#xff0c;这个时候是不支持MYSQL数据…

No127.精选前端面试题,享受每天的挑战和学习

🤍 前端开发工程师(主业)、技术博主(副业)、已过CET6 🍨 阿珊和她的猫_CSDN个人主页 🕠 牛客高级专题作者、在牛客打造高质量专栏《前端面试必备》 🍚 蓝桥云课签约作者、已在蓝桥云课上架的前后端实战课程《Vue.js 和 Egg.js 开发企业级健康管理项目》、《带你从入…

箱讯科技成功闯入第八届“创客中国”全国总决赛—在国际物流领域一枝独秀

添加图片注释&#xff0c;不超过 140 字&#xff08;可选&#xff09; 2023年9月26日&#xff0c;第八届“创客中国”数字化转型中小企业创新创业大赛决赛在贵州圆满收官。 经过初赛、复赛、决赛的激烈角逐&#xff0c;箱讯科技与众多强劲对手同台竞技&#xff0c;最终凭借出…