vivado 使用项目摘要、配置项目设置、仿真设置

使用项目摘要

Vivado IDE包括一个交互式项目摘要,可根据设计动态更新命令被运行,并且随着设计在设计流程中的进展。项目摘要包括概览选项卡和用户可配置的仪表板,如下图所示。有关信息,请参阅《Vivado Design Suite用户指南:使用Vivado IDE(UG893)》中的此链接。

要打开“项目摘要”,请执行以下任一操作:

•选择Windows → 项目摘要。

•选择“项目摘要”工具栏按钮。

注意:默认情况下会显示“项目摘要”中的“概述”选项卡。

配置项目设置

您可以配置设置以满足每个项目的特定需要。设置包括常规与顶部模块定义相关的设置以及以下设置:仿真,合成、实现、比特流和IP。

要打开“设置”对话框,请使用以下任意方法:

•选择工具 → 设置。

•单击“设置”工具栏按钮。

•在“流导航器”中,单击“项目管理器”部分中的“设置”,或右键单击其中一个以下内容:

•SIMULATION:打开模拟设置

•RTL分析:打开精化设置

•合成:打开合成设置

•实施:打开实施设置

•程序和调试:打开比特流设置

•在“项目摘要”中,单击“设置”标题旁边的“编辑”链接,或单击策略或“合成”或“实现”部分中的流程。

根据调用“设置”对话框的方式,相应的类别显示为违约例如,如果单击“流导航器”中的“模拟设置”,则“模拟”类别显示在“设置”对话框中。以下各节提供了详细信息对于每个类别。

常规设置

常规设置允许您指定项目名称、零件、目标语言、目标模拟器、顶部模块名称和语言选项。

•名称:指定项目名称。

•项目设备:指定要用作合成和实施单击浏览按钮打开选择设备对话框以选择装置

注意:如果您有多个合成或实现运行,您也可以更改用于通过在“运行属性”窗口中更改运行设置,可以执行特定的运行。有关更多信息,请参阅Vivado Design Suite用户指南:使用Vivado IDE(UG893)。

•目标语言:指定设计的目标输出语言为Verilog或VHDL。该工具以指定的目标语言从设计中生成RTL输出。由目标语言控制的输出的具体示例是合成、模拟、顶级包装器、测试台和IP实例化模板。

•默认库:指定项目的默认库。没有显式库的所有文件规范在这个库中编译。可以选择库名称,也可以指定新库名称,方法是在库文本字段中键入。

•顶部模块名称:指定设计的顶部RTL模块名称。您也可以输入较低级别的模块名称,用于在特定模块上进行合成实验。单击浏览按钮自动搜索顶部模块并显示可能的顶部模块列表。

•语言选项:

重要!此处的设置适用于合成。您还可以定义Verilog选项和Generics/“设置-仿真”对话框中的“参数”选项。模拟设置应用于模拟文件集并影响模拟,但不影响合成。

•Verilog选项:单击浏览按钮在Verilog中设置以下选项“选项”对话框。

•Verilog Include Files Search Paths:指定搜索引用文件的路径'在源Verilog文件中包含语句。

•定义:指定项目的Verilog宏定义。

•大写所有标识符:将所有Verilog标识符设置为大写。

•泛型/参数:VHDL支持泛型,而Verilog支持定义参数对于常数值。这两种技术都允许参数化设计

在不同的情况下重复使用。单击浏览按钮以定义常规和参数值来覆盖在源文件中定义的默认值。

仿真设置

通过模拟设置,可以指定模拟集,即模拟顶部模块名称,以及编译和模拟选项的选项卡列表。您可以选择一个选项来查看对话框底部的说明。有关“仿真设置”的详细信息,请参阅《Vivado Design Suite用户指南:逻辑仿真》中的“使用仿真设置”部分(UG900)。

精化设置

在打开详细设计时,如第4章:RTL分析中所述,有两个可以启用或禁用的设置,以更改RTL设计的细节,如所示如下图所示。精化页面允许您为精化网表视图设置选项。此视图是可从RTL分析中的流导航器获得 → 开放式精心设计。

•链接IP模块选项:黑盒模型(存根文件):将所有断章取义合成的IP视为黑盒。网表模型:使用IP的合成网表断章取义地合成。

•约束选项:载荷约束:将所有活动约束应用于详细设计(时间和物理)。可以在源文件集上定义以下Tcl命令,以实现RTL细化设置:

set_property elab_link_dcps true [current_fileset]
set_property elab_load_timing_constraints true [current_fileset]

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/236527.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

goland报错:The selected directory is not a valid home for Go SDK

原因: IDEA / goland无法识别到GO语言SDK版本 解决办法: 打开GO的安装目录下的src\runtime\internal\sys\zversion.go文件,添加一行(我的go版本是1.18.10) const TheVersion go1.18.10 重启goland再选择试试 最后…

【设计模式-04】Factory工厂模式

简要描述 简单工厂静态工厂工厂方法 FactoryMethod 产品维度扩展 抽象工厂 产品一族进行扩展Spring IOC 一、工厂的定义 任何可以产生对象的方法或类,都可以称之为工厂单例也是一种工厂不可咬文嚼字,死扣概念为什么有了new之后,还要有工厂&am…

科技创新领航 ,安川运动控制器为工业自动化赋能助力

迈入工业4.0时代,工业自动化的不断发展,让高精度运动控制成为制造业高质量发展的重要技术手段。北京北成新控伺服技术有限公司作为一家集工业自动化产品销售、系统设计、开发、服务于一体的高新技术企业,其引进推出的运动控制产品一直以卓越的…

详解Oracle数据库的启动

Oracle数据库的启动,其概念可参考Overview of Instance and Database Startup。 其过程可参见下图: 当数据库从关闭状态进入打开数据库状态时,它会经历以下阶段。 阶段Mount状态描述1实例在没有挂载数据库的情况下启动实例已启动&#xff…

共融共生:智慧城市与智慧乡村的协调发展之路

随着科技的飞速发展和全球化的不断深入,智慧城市和智慧乡村作为现代社会发展的重要组成部分,正逐渐成为人们关注的焦点。然而,在追求经济发展的过程中,城乡发展不平衡的问题也日益凸显。因此,如何实现智慧城市与智慧乡…

完整的模型验证套路

读取图片 from PIL import Imageimg_path "../Yennefer_of_Vengerberg.jpg" image Image.open(img_path) print(image)转换成灰度图(可选) image image.convert(L) image.show()转换成RGB格式 image image.convert(RGB)因为png格式是四…

STM32F103RCT6开发板M3单片机教程07-TIMER1CH1输出 PWM做LED呼吸灯

概述 本教程使用是(光明谷SUN_STM32mini开发板) 免费开发板 在谷动谷力社区注册用户,打卡,发帖求助都可以获取积分,当然最主要是发原创应用文档奖励更多积分. (可用积分换取,真的不用钱&…

Goby 漏洞发布|用友 NC registerServlet 反序列化远程代码执行漏洞

漏洞名称:用友 NC registerServlet 反序列化远程代码执行漏洞 English Name:Yonyou NC registerServlet Deserialize Remote Code Execute Vulnerability CVSS core: 9.8 影响资产数: 21320 漏洞描述: 用友 NC Cloud 是一种商…

熟悉HBase常用操作

1. 用Hadoop提供的HBase Shell命令完成以下任务 (1)列出HBase所有表的相关信息,如表名、创建时间等。 启动HBase: cd /usr/local/hbase bin/start-hbase.sh bin/hbase shell列出HBase所有表的信息: hbase(main):001:0> list(2)在终端输出指定表的所有记录数据。 …

k8s-存储 11

一、configmapu存储 首先,确保集群正常,节点都处于就绪状态 Configmap用于保存配置数据,以键值对形式存储。configMap资源提供了向 Pod 注入配置数据的方法,旨在让镜像和配置文件解耦,以便实现镜像的可移植性和可复用…

kylin集群反向代理(健康检查)

前面一篇文章提到了使用nginx来对kylin集群进行反向代理, kylin集群使用nginx反向代理-CSDN博客文章浏览阅读349次,点赞8次,收藏9次。由于是同一个集群的,元数据没有变化,所以,直接将原本的kylin使用scp的…

RabbitMQ(六)消息的持久化

目录 一、简介1.1 定义1.2 消息丢失的场景 二、交换机的持久化方式一:直接 new方式二:channel.exchangeDeclare()方式三:ExchangeBuilder【推荐】 三、队列的持久化方式一:直接 new方式二:channel.queueDeclare()方式三…

C++核心编程——类和对象(二)

本专栏记录C学习过程包括C基础以及数据结构和算法,其中第一部分计划时间一个月,主要跟着黑马视频教程,学习路线如下,不定时更新,欢迎关注。 当前章节处于: ---------第1阶段-C基础入门 ---------第2阶段实战…

AI绘画软件Stable Diffusion模型/Lora/VAE文件存放位置

型下载说明(下载模型后输入对应参数即可生成) 建议直接去civitai.com找模型,如果无法找到可以在幕后模型区找也可以去, 下载好后放入对应的文件夹。进入127.0.0.1:7680 左上角刷新即可看到新的模型。 模型种类 大模型 大模型特…

基于springboot+vue药店管理系统

摘要 药店管理系统的设计和应用在当前社会背景下具有巨大的实际意义和社会价值。随着医药行业的不断发展和社会健康水平的提高,药店作为医疗服务的一部分,其管理方式也需要不断创新与优化。该系统的研究不仅关系到单一药店的运营效率,更涉及到…

植物大战僵尸小游戏抖音快手直播搭建弹幕插件教程

植物大战弹幕插件功能介绍 该插件由梦歌技术部团队支持开发,本插件软件通过监测抖音弹幕信息,获取礼物数据触发脚本插件对应的功能; 功能目前基本上已经完善,后期功能会陆续上线支持更新,全新的脚本监测稳定方便实用…

逆向分析爬取网页动态

本例子以爬取人民邮电出版社网页新书的信息为例 由于页面是动态的,信息会不停地更新,所以不同时间的爬取结果会不同。

[足式机器人]Part3 机构运动学与动力学分析与建模 Ch00-2(1) 质量刚体的在坐标系下运动

本文仅供学习使用,总结很多本现有讲述运动学或动力学书籍后的总结,从矢量的角度进行分析,方法比较传统,但更易理解,并且现有的看似抽象方法,两者本质上并无不同。 2024年底本人学位论文发表后方可摘抄 若有…

ORB SLAM2 编译

文章目录 软件版本编译编译自动编译手动编译 运行结果运行截图轨迹分析 软件版本 Pangolin0.6opencv3.4.0 ORB SLAM2 编译 # 更改Opencv依赖版本与添加Pangolin依赖 # CMakelist.txt更改 LIST(APPEND CMAKE_PREFIX_PATH /usr/local/opencv-3.4) # 添加 LIST(APPEND CMAKE_PR…

day15 层序遍历 翻转二叉树 对称二叉树

题目1:102 二叉树的层序遍历 题目链接:102 二叉树的层序遍历 题意 根据二叉树的根节点root,返回其节点值的层序遍历 借助队列实现,因为队列是先进先出的逻辑,符合层序遍历一层一层遍历的思想 代码 /*** Definitio…