基于FPGA实现LED的闪烁——HLS

基于FPGA实现LED的闪烁——HLS

引言:

​ 随着电子技术的飞速发展,硬件设计和开发的速度与效率成为了衡量一个项目成功与否的关键因素。在传统的硬件开发流程中,工程师通常需要使用VHDL或Verilog等硬件描述语言来编写底层的硬件逻辑,这不仅耗时而且对于复杂算法的实现存在一定的局限性。为了解决这一问题,高层次综合(High-Level Synthesis, HLS)技术应运而生,它允许开发者使用更高级的编程语言,如C/C++,来设计硬件,从而大幅提高开发效率和灵活性。

·

本实验旨在通过一个具体的实例——LED灯的闪烁控制,来展示HLS技术的应用。我们将利用Xilinx的Vivado HLS工具,将C/C++代码转换为硬件电路,实现LED灯的闪烁效果。通过本实验,参与者不仅能够了解HLS的基本概念和关键技术,还能够掌握从环境配置到实际硬件实现的完整流程。

·

希望你在本次学习过后,能够有一定的收获!!!

推荐歌曲—雨爱-杨丞琳

​ 冲啊!!!! ٩(͡๏̯͡๏)۶ ٩(͡๏̯͡๏)۶ ٩(͡๏̯͡๏)۶

文章目录

  • 基于FPGA实现LED的闪烁——HLS
    • 一、任务介绍
    • 二、HLS的相关介绍
      • HLS的概念拓展
      • HLS的优势
      • HLS与VHDL/Verilog的比较
    • 三、HLS相关环境配置
      • 1.vivado 安装
      • 2.创建HLS工程
      • 3.添加相关文件
      • 4.C仿真与C综合
      • 5.创建Vivado工程
      • 6.导入HLS生成的IP核
      • 7.添加实验代码
      • 8.约束文件编写
      • 9.编译生成获取结果
    • 四、效果展示
    • 五、总结

一、任务介绍

  1. 了解HLS的相关内容
  2. 实现HLS的实验环境配置
  3. 使用HLS点亮一个小灯

二、HLS的相关介绍

HLS的概念拓展

高层次综合(High-Level Synthesis, HLS) 是一种先进的硬件设计方法,它允许开发者使用高级编程语言(如C、C++或SystemC)来描述硬件功能,而不是传统的硬件描述语言(HDL)如VHDL或Verilog。HLS工具能够自动将这些高级语言代码转换为寄存器传输级(Register Transfer Level, RTL)电路设计,这大大简化了硬件设计过程,并缩短了开发周期。

HLS的优势

  1. 提高生产力:开发者可以使用熟悉的高级语言进行编程,而不需要精通硬件描述语言。
  2. 算法优化:高级语言提供了更直观的方式来表达复杂的算法,HLS工具可以优化这些算法实现,以满足硬件性能要求。
  3. 快速原型开发:HLS允许快速迭代和原型设计,加速了从概念到实现的过程。
  4. 代码重用:现有的软件代码可以被重用,减少了从头开始编写代码的需求。

HLS与VHDL/Verilog的比较

  • VHDL/Verilog:这些是传统的硬件描述语言,适用于精确控制硬件行为和结构的场景。它们通常用于复杂的硬件设计,需要开发者有较强的硬件设计背景。
  • HLS:提供了一种更高层次的设计抽象,允许开发者专注于算法和功能,而不是硬件的具体实现细节。这使得HLS特别适合于算法密集型和数据处理密集型的应用。

HLS的关键技术

  1. 代码分析与优化:HLS工具需要能够理解和分析高级语言代码,并进行优化以满足性能、面积和功耗等硬件设计要求。
  2. 资源分配:自动决定使用哪些硬件资源(如查找表、寄存器、乘法器等)来实现代码。
  3. 时序分析:确保生成的硬件设计满足时序要求,能够在给定的时钟频率下稳定工作。
  4. 并行处理:利用并行处理技术来提高设计的性能。

技术局限性

  1. 复杂性:对于极其复杂的人工智能算法,HLS可能需要高级的优化技术来实现高效的硬件加速。
  2. 编程能力要求:虽然HLS简化了硬件设计过程,但仍然需要开发者具备强大的C/C++编程能力,以及对并行计算和硬件架构的理解。
  3. 工具限制:不同的HLS工具可能有不同的优化能力和支持的语言特性,这可能限制了设计的灵活性。

三、HLS相关环境配置

1.vivado 安装

1.下载Vivado(如Vivado2019.1)
这个可以去官网下载,或者找实验室同学要一个安装文件,大概四五十GB,准备好安装文件后,直接点解xsetup程序进入安装

在这里插入图片描述

(整个安装文件夹44G)

2.安装过程
会弹出:

在这里插入图片描述点击Next,

在这里插入图片描述

点击Continue

在这里插入图片描述

三个I Agree全部勾选,点击Next,

在这里插入图片描述

选中第二个,Next,会出现选择需要的工具,设备等(本次重装的原因就是因为原版本安装的时候没有选择需要的ZYNQ的设备型号,所以建议大家磁盘有空间的把Devices全部勾选)

在这里插入图片描述

这里我将默认安装在C盘,但完全可以定义自己的路径,没有影响

在这里插入图片描述

接着Next,这次将进入长期的安装过程(约2h),如下:

在这里插入图片描述

漫长的等待后,出现这个界面,此时离安装成功只剩一步———破解了:

在这里插入图片描述

点击Get Licenses,选择Load License,在右方选择 Copy Licenses

在这里插入图片描述

这时候找到我们准备好的License文件就好了,没有的可以在这下载:https://download.csdn.net/download/weixin_49457347/85014356
下载解压后是一个这个文件(名称不重要,源文件名是vivado_lic2037):

在这里插入图片描述

选中该文件后,弹出安装成功!

在这里插入图片描述

2.创建HLS工程

创建一个HLS工程
①打开Vivado HLS,点击Create New Project
在这里插入图片描述
②输入相关工程信息
在这里插入图片描述
③选择顶层函数,此处暂不管
在这里插入图片描述

④选择添加C仿真文件,此处可以暂时不管
在这里插入图片描述
⑤选择器件
在这里插入图片描述

3.添加相关文件

①源文件添加
点击Source,右键后,选择New File,创建文件

led.h

#ifndef _SHIFT_LED_H_
#define _SHIFT_LED_H_#include "ap_int.h"
#define CNT_MAX 100000000
//#define CNT_MAX 100,100M时钟频率下计数一秒钟所需要的计数次数
#define FLASH_FLAG CNT_MAX-2
// typedef int led_t;
// typedef int cnt_t;
typedef ap_int<1> led_t;
typedef ap_int<32> cnt_t;
void flash_led(led_t *led_o , led_t led_i);#endif

led.cpp

#include "led.h"void flash_led(led_t *led_o , led_t led_i){
#pragma HLS INTERFACE ap_vld port=led_i
#pragma HLS INTERFACE ap_ovld port=led_ocnt_t i;for(i=0;i<CNT_MAX;i++){if(i==FLASH_FLAG){*led_o = ~led_i;}}
}

②仿真测试文件添加
右键Test Bench,选择New File

test_led.cpp

#include "led.h"
#include <stdio.h>
int main(){led_t led_i=0x01;led_t led_o;const int SHIFT_TIME = 4;int i;for(i=0;i<SHIFT_TIME;i++){flash_led(&led_o , led_i);led_i = led_o;printf("shift_out is %d \n",(int)(led_o&0x01));}
}

4.C仿真与C综合

①点击project->project settings->synthesis->browser->选择顶层函数

在这里插入图片描述

②点击project->Run C Simulation(输出01交替,表示C仿真结果正确)

在这里插入图片描述

③点击Solution->Run C Synthesis->Active Solution

在这里插入图片描述

5.创建Vivado工程

①打开Vivado,选择Greate Project
在这里插入图片描述
②点击Next,进行项目信息填写
在这里插入图片描述
③勾选RTL Project
在这里插入图片描述
④Source和约束文件添加,暂时不管,直接Next
⑤选择器件
img
⑥点击Finish
在这里插入图片描述

6.导入HLS生成的IP核

①生成IP核
选择Solution->Export RTL
在这里插入图片描述
②导入
点击setting
在这里插入图片描述
选择IP->Repository,并且点击加号,选择solution,将会自动识别到IP,识别到后,点击Apply->OK
在这里插入图片描述
检验是否导入成功
在这里插入图片描述
生成IP
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

7.添加实验代码

①选择Add Sources
在这里插入图片描述
②文件名称填写
在这里插入图片描述
③代码内容

`timescale 1ns / 1ps
module flash_led(
input wire clk ,
input wire rst_n ,
output wire led_o
);wire rst ;//同步复位
wire ap_ready ;//当前可以接收下一次数据
reg ap_start ;//IP 开始工作
reg led_i_vld ;//输入数据有效
wire led_o_vld ;
reg led_i ;//输入的 led 信号
wire led_o_r ;
wire ap_done ;
wire ap_idle ;
reg [1:0] delay_cnt ;
assign rst = ~rst_n ;
assign led_o = led_o_r ;//----------------delay_cnt------------------
always @(posedge clk) begin
if (rst==1'b1) begin
delay_cnt <= 'd0;
end
else if(delay_cnt[1]==1'b0) begin
delay_cnt <= delay_cnt + 1'b1;
end
end//----------------ap_start------------------
always @(posedge clk) begin
if (rst==1'b1) begin
ap_start <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
ap_start <= 1'b1;
end
end//----------------led_i_vld------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i_vld <= 1'b0;
end
else if(delay_cnt[1]==1'b1)begin
led_i_vld <= 1'b1;
end
end//----------------ap_i------------------
always @(posedge clk) begin
if (rst==1'b1) begin
led_i <= 1'b0;
end
else if(led_o_vld==1'b1)begin
led_i <= led_o_r ;
end
endflash_led_0 inst_flash_led (
.led_o_V_ap_vld(led_o_vld), // output wire led_o_V_ap_vld
.led_i_V_ap_vld(led_i_vld), // input wire led_i_V_ap_vld
.ap_clk(clk), // input wire ap_clk
.ap_rst(rst), // input wire ap_rst
.ap_start(ap_start), // input wire ap_start
.ap_done(ap_done), // output wire ap_done
.ap_idle(ap_idle), // output wire ap_idle
.ap_ready(ap_ready), // output wire ap_ready
.led_o_V(led_o_r), // output wire [0 : 0] led_o_V
.led_i_V(led_i) // input wire [0 : 0] led_i_V
); 
endmodule

8.约束文件编写

①创建约束文件
在这里插入图片描述
②填写相关文件信息
在这里插入图片描述
③代码内容

##############LED define################## 
set_property PACKAGE_PIN P15 [get_ports {led_o}] 
set_property IOSTANDARD LVCMOS33 [get_ports {led_o}]##############Reset define################## 
set_property PACKAGE_PIN P16 [get_ports {rst_n}] 
set_property IOSTANDARD LVCMOS33 [get_ports {rst_n}]##############50M CLK define################## 
create_clock -period 20.000 -name clk -waveform {0.000 10.000} [get_ports clk]
set_property PACKAGE_PIN N18 [get_ports {clk}] 
set_property IOSTANDARD LVCMOS33 [get_ports {clk}]

9.编译生成获取结果

①生成
在这里插入图片描述
②进行下载
点击Open Hardware Manager->Open target->Auto target后,显示如下
在这里插入图片描述
点击Program device,下载程序,直接点击Program
在这里插入图片描述

四、效果展示

在这里插入图片描述

五、总结

HLS的关键技术包括代码分析与优化、资源分配、时序分析和并行处理,这些技术共同确保了生成的硬件设计既高效又可靠。然而,HLS也存在一些局限性,尤其是在处理极其复杂的人工智能算法时,可能需要更高级的优化技术和对硬件架构的深入理解。此外,HLS的成功实施依赖于开发者强大的C/C++编程能力。

·

尽管有其挑战,HLS的优势不容忽视。它使得硬件设计更加快速、灵活,并且能够重用现有的软件代码,加速了从概念到实现的转换过程。随着技术的不断进步,HLS工具的优化能力和支持的语言特性也在不断增强,预示着HLS在未来硬件设计领域的广泛应用和发展潜力。

·

总结来说,高层次综合作为一种创新的设计方法,正在逐渐改变传统的硬件开发流程,为硬件设计领域带来新的机遇和挑战。随着对HLS工具的不断改进和优化,我们有理由相信,HLS将在未来的硬件设计和开发中扮演越来越重要的角色。

最后感谢大佬友情链接:

  • https://blog.csdn.net/qq_43279579/article/details/117084706
  • https://blog.csdn.net/weixin_49457347/article/details/123643998

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/334821.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

kafka-主题创建(主题操作的命令)

文章目录 1、topic主题操作的命令1.1、创建一个3分区1副本的主题1.1.1、获取 kafka-topics.sh 的帮助信息1.1.2、副本因子设置不能超过集群中broker的数量1.1.3、创建一个3分区1副本的主题1.1.4、查看所有主题1.1.5、查看主题详细描述 1、topic主题操作的命令 kafka发送消息会存…

vue中在mounted使用$refs获取不到DOM元素

vue中在mounted使用$refs获取不到DOM元素 前言解决方案1、通过使用$nextTick来获取2、updated中获取 前言 在使用ref的时候&#xff0c;在mounted中通过$ref获取节点是获取不到报undefined this.$refs.xx 为 undefined 解决方案 在mounted钩子中加载回来的数据不会在这个阶段更…

YoloV8实战:各种图绘制汇总(mAP50、mAP50-95、loss、PR_curve、F1_curve)|科研必备|绘图神器

摘要 本文的内容是告诉大家如何绘制mAP50、mAP50-95、loss、PR_curve、F1_curve等图像,方便大家写论文。 绘制mAP50、mAP50-95、loss等图。 先上效果,如下图: 首先将,训练的result.csv汇总到一个文件夹下面(这样方便寻找),要不然找起来太麻烦。如下图: 我都放到re…

Aleth-NeRF: Illumination Adaptive NeRF with Concealing Field Assumption

Abstract Aleth-NeRF: 带有隐蔽场假设的照明自适应 NeRF 照明照明标准的神经辐射场(NeRF)范例采用了一种以观察者为中心的方法,将光照和材料反射的各个方面仅仅从3D 点发射纠缠在一起。这种简化的渲染方法在准确建模在不利光照条件下捕获的图像方面提出了挑战,如弱光或过度曝…

【Linux】LAMP集群分布式安全方案

LAMP集群分布式安全方案主要涉及确保Linux、Apache、MySQL和PHP&#xff08;LAMP&#xff09;组合构成的集群环境的安全性和稳定性。 本次实验通过网络层安全对防火墙配置&#xff1a;使用防火墙&#xff08;如iptables或firewalld&#xff09;来限制对集群的访问&#xff0c;只…

152.找出峰值(力扣)

代码解决 class Solution { public:// 函数用于找到山峰元素的索引vector<int> findPeaks(vector<int>& mountain) {vector<int> result; // 用于存储山峰元素的索引// 遍历数组&#xff0c;从第二个元素到倒数第二个元素for(int i 1; i 1 < mount…

【蓝桥杯】第十四届蓝桥杯大赛软件赛国赛C/C++ 大学 B 组

答题结果页 - 蓝桥云课 (lanqiao.cn) 0子2023 - 蓝桥云课 (lanqiao.cn)&#xff08;暴力枚举 #include<bits/stdc.h> using lllong long; using ullunsigned long long; #define fir first #define sec second //#define int llconst int N1e510; const int mod1e97;int…

opencascade V3d_PositionLight V3d_SpotLight 源码学习 (位置性)光源

Positional、Spot 和 Directional Light 类的基类。 类V3d_SpotLight 创造和修改光源的衰减因子 F 决定了表面的照明&#xff1a; F 1 / (ConstAttenuation() LinearAttenuation() * Distance) 其中 Distance 是从光源到表面的距离。默认值 (1.0, 0.0) 对应最小的衰减。浓…

pikachu—exec“eval“

这是原画面 然后呢&#xff1f; 我们知道会传入到后台rce_eval.php来处理然后通过 eval()是啥? 在eval括号里面可以执行外来机器的命令 然后我们通过php的一个内置的命令 我们通过phpinfo()&#xff1b; 这是输入后的结果

10、SpringBoot 源码分析 - 自动配置深度分析三

SpringBoot 源码分析 - 自动配置深度分析三 refresh和自动配置大致流程AutoConfigurationImportSelector的getAutoConfigurationEntry获取自动配置实体(重点)AutoConfigurationImportSelector的getCandidateConfigurations获取EnableAutoConfiguration类型的名字集合AutoConfig…

Golang | Leetcode Golang题解之第118题杨辉三角

题目&#xff1a; 题解&#xff1a; func generate(numRows int) [][]int {ans : make([][]int, numRows)for i : range ans {ans[i] make([]int, i1)ans[i][0] 1ans[i][i] 1for j : 1; j < i; j {ans[i][j] ans[i-1][j] ans[i-1][j-1]}}return ans }

[FlareOn6]Overlong

很简单的逻辑 一度让我以为是加保护了 运行告诉我从未编码,懵逼 动调你也发现,你根本没什么可以操作的空间,密文什么的,都是固定的 但是这里大家发现没 我们只加密了28个密文 然后text是128 也就是 0x80 是不是因为密文没加密完呢 我也懒得去写代码了 汇编直接修改push 字…

Leetcode621. 任务调度器

Every day a Leetcode 题目来源&#xff1a;621. 任务调度器 类似题目&#xff1a;1953. 你可以工作的最大周数 解法1&#xff1a;贪心 本质上来说&#xff0c;我们需要构造一个尽量短的&#xff0c;相同元素间隔 > (n1) 的序列。 用一个数组 cnt 统计每个任务的次数。…

03 FreeRTOS 同步互斥与通信

1、同步与互斥 一句话理解同步与互斥&#xff1a;我等你用完厕所&#xff0c;我再用厕所。 什么叫同步&#xff1f;就是&#xff1a;哎哎哎&#xff0c;我正在用厕所&#xff0c;你等会。 什么叫互斥&#xff1f;就是&#xff1a;哎哎哎&#xff0c;我正在用厕所&#xff0c;你…

Oracle中rman的增量备份使用分享

继上次使用RMAN的全量备份和异机还原以后&#xff0c;开始研究一下增量备份和还原的方法。相比于全量RMAN的备份还原&#xff0c;增量的备份还原就相对简单。本实践教程直接上操作&#xff0c;还是回归到一个问题&#xff0c;就是关于两个数据库创建时候&#xff0c;必须保持or…

效率工作:一键为多种资产添加统一材质(小插件)

1.需求分析&#xff1a; 当导入一批资产&#xff0c;或者有同一批结构体需要添加相同材质时&#xff0c;单独为每个模型都添加材质费时费力&#xff0c;有没有什么办法&#xff0c;能同时为多个资产添加材质。 2.操作实现 1.在网上找到了一款插件&#xff0c;经过验证&#xf…

基于模糊PID控制器的汽车电磁悬架控制系统simulink建模与仿真

目录 1.课题概述 2.系统仿真结果 3.核心程序与模型 4.系统原理简介 5.完整工程文件 1.课题概述 基于模糊PID控制器的汽车电磁悬架控制系统simulink建模与仿真。 2.系统仿真结果 上面的仿真结果是无控制器和LQG的对比&#xff0c;以及有控制器和LQG的对比仿真。 3.核心程…

go 微服务框架kratos使用中间件的方法

一、中间件的概念 在go语言中&#xff0c;中间件是一种用于处理http请求的开发模式&#xff0c;允许开发人员在请求到达处理程序之前或之后执行特定的操作&#xff0c;如日志记录、身份验证、错误处理等。 中间件通常是一个函数&#xff0c;它接收一个 http.Handler 作为参数…

HBase安装

安装HBase 提示&#xff1a;需要安装好hadoop和zookeeper 安装zookeeper可参考 一、确定HBase版本 去网站确认 https://hbase.apache.org/book.html#hadoop二、下载HBase安装包 去清华大学镜像站下载 https://mirrors.tuna.tsinghua.edu.cn/apache/hbase/三、安装HBase …

Apache、Nginx、IIS文件解析漏洞

目录 1、文件解析漏洞介绍 2、Apache相关的解析漏洞 &#xff08;1&#xff09;多后缀解析漏洞 &#xff08;2&#xff09;Apache配置问题 &#xff08;3&#xff09;换行符解析漏洞 &#xff08;4&#xff09;罕见后缀解析 3、Nginx相关的解析漏洞 &#xff08;1&…