基于FPGA的数字信号处理(15)--定点数的舍入模式(6)向0取整fix

前言

        在之前的文章介绍了定点数为什么需要舍入和几种常见的舍入模式。今天我们再来看看另外一种舍入模式:向上取整fix。

10进制数的fix

        fix:也叫 向0取整。它的舍入方式是数据往0的方向,舍入到最近的整数,比如1.75 fix到2,-0.25 fix到0等。以-2到1.75之间的16个数据(步长0.25)为例,它们的 fix 结果是这样的:

% 打印数据

        从上图可以看到:

  • 正数的fix,就是把小数部分(或者约定精度外的部分)丢掉。例如1.5 >> 1,0.5 >> 0,1 >> 1 等

  • 负数的fix,也是把小数部分(或者约定精度外的部分)丢掉。例如-1.5 >> -1,-0.5 >> 0,-1 >> -1 等

  • 0的fix,同样是直接丢掉小数部分

2进制数的fix

        2进制数的fix和10进制的fix类似,但是对于负数部分的处理是不同的。以Q4.2格式的定点数(字长4位,小数2位的有符号数)为例,对于负数的小数部分的处理:

  • -2(d) = 10_00(b) fix后的值为 -2,等价于 10,即舍弃小数部分的值(10)

  • -1.75(d) = 10_01(b) fix后的值为 -1,等价于 11,即舍弃小数部分的值(10)后再加1

  • -1.5(d) = 10_10(b) fix后的值为 -1,等价于 11,即舍弃小数部分的值(10)后再加1

  • -1.25(d) = 10_11(b) fix后的值为 -1,等价于 11,即舍弃小数部分的值(10)后再加1

  • -1(d) = 11_00(b) fix后的值为 -1,等价于 11,即舍弃小数部分

  • -0.75(d) = 11_01(b) fix后的值为 0,等价于 00,即舍弃小数部分的值(10)后再加1

  • -0.5(d) = 11_10(b) fix后的值为 0,等价于 00,即舍弃小数部分的值(10)后再加1

  • -0.25(d) = 11_11(b) fix后的值为 0,等价于 00,即舍弃小数部分的值(10)后再加1

        总结一下,就是:

  • 小数部分不为0时就是把小数部分(或者约定精度外的部分)丢掉再加1。

  • 小数部分为0时就是把小数部分(或者约定精度外的部分)丢掉。

        对于正数和0的处理和10进制的方式相同,都是:

直接把小数部分(或者约定精度外的部分)丢掉,例如1.25即01_01 fix的结果是1即01,0.75即00_11 fix的结果是0即00

        从上面可以看出来,fix对于正数来说相当于向下取整floor,对于负数来说相当于向上取整ceil。因此,fix的实现可以简化为:

首先舍去小数部分,然后剩余整数部分加上一个进位。当该数是为负的非整数时,进位为1;否则进位为0。

image-20240421154458400

        下面以 用fix的方式来实现Q4.2格式定点数转Q2.0格式定点数为例,Verilog代码如下:

module test(input   [3:0]   data_4Q2,       //有符号数,符号1位,字长4位,小数2位   output  [1:0]   data_2Q0        //有符号数,符号1位,字长2位,小数0位   
);
​
wire    carry;
​
assign  carry = data_4Q2[3] && (|data_4Q2[1:0]);    //是负数且非整数时进位为1,其他进位为0
assign  data_2Q0 = data_4Q2[3:2] + carry;           //舍弃低位(即整个小数部分)后再加进位
​
endmodule

        因为一共只有16个数,所以我们可以用穷举的方式来测试,TB如下:

`timescale 1ns/1ns
module test_tb();
​
reg  [3:0]  data_4Q2;           //有符号数,符号1位,整数2位,小数2位   
wire [1:0]  data_2Q0;           //有符号数,符号1位,整数2位,小数0位   integer i;                      //循环变量
​
initial begindata_4Q2 = 0;               //输入赋初值 for(i=0;i<16;i=i+1)begin    //遍历所有的输入,共16个  data_4Q2 = i;                       #5; $display("data_4Q2:%h       data_2Q0:%h",data_4Q2,data_2Q0);end#20 $stop();                //结束仿真
end
​
//例化被测试模块
test    test_inst(.data_4Q2   (data_4Q2), .data_2Q0   (data_2Q0)
);
​
endmodule

        同时,我们也用matlab来实现同样的功能,观察两者的输出是否一致:

%--------------------------------------------------
% 关闭无关内容
clear;
close all;
clc;
​
%--------------------------------------------------
% 生成数据并做fix处理
x = -2:0.25:1.75;
F = fimath('RoundingMethod','Zero');        % 设定舍入模式为fix
data_4Q2 = fi(x,1,4,2,F);                   % 生成Q4.2格式的定点数
data_2Q0 = fi(data_4Q2,1,2,0,F);            % 从Q4.2格式转换成Q2.0格式
​
% 打印数据
for i=1:length(data_4Q2)fprintf('data_4Q2:%s    data_2Q0:%s\n',hex(data_4Q2(i)),hex(data_2Q0(i)))
end

        下图是2者分别输出的数据(16进制),可以看到数据的输出是一致的,证明RTL代码无误。

image-20240421002442688

        这几个数的输入分别是0101/0110/0111,即10进制数1.25/1.5/1.75,它们fix结果应该是2。从上图来看,好像是matlab错了,而RTL对了,但实际情况恰恰相反。现在想想结果是什么格式的?Q2.0!它能表示的最大的数是多少?是10进制的1!所以结果溢出了!

        那为什么RTL的结果又 ”对“ 了呢?这纯属是乌龙。因为打印结果是16进制的,并不表示10进制数值,结合结果的2位位宽,可知 ”2“,实际上就是10,它是01的溢出产生的,这个数在Q2.0格式的定点数中并不表示 ”数字2“,而是数字 ”-1“。

        matlab是有溢出处理机制的(saturate),它把溢出值把都饱和在了最大值即01(10进制的1)。为了防止这种情况的发生,我们也要设计对应的溢出处理机制。因为是向上取整,所以结果只会是正向的溢出,那么就只要限定最大值即可,把Verilog代码改一下:

module test(input   [3:0]   data_4Q2,               //有符号数,符号1位,字长4位,小数2位   output  [1:0]   data_2Q0                //有符号数,符号1位,字长2位,小数0位   
);
​
wire            carry;
wire    [2:0]   data_temp;                  //扩展1bit,防止溢出
​
assign  carry = |data_4Q2[1:0];                                         //是整数时进位为0,非整数进位为1
assign  data_temp = {data_4Q2[3],data_4Q2[3:2]} + {2'b00,carry};        //中间变量,舍弃低位(即整个小数部分)后再加进位
assign  data_2Q0 = (data_temp[2:1]==2'b01) ? 2'b01 : data_temp[1:0];    //data_2Q0的高2位为01说明产生了正向的进位,即溢出
​
endmodule

        非整数的ceil,相当于先丢小数部分,然后把剩余的整数部分+1

image-20240420165316917

        定点数从Q4.2格式转Q2.0格式是一个比较特殊的例子,因为它相当于把小数部分全部舍弃掉了,如果舍入要求不是全部小数位,而是部分小数位,那么处理方式是一样的吗?

        是一样的。对于其他情况则只需要把精度要求外的小数部分舍弃即可。例如Q5.3格式的定点数转Q3.1格式,则只需要把最后两位小数舍弃即可,例如:

00.111 是0.875,fix到向0方向即向下方向距离它最近的Q3.1格式的数是0.5即00.1,即00.111 >> 00.1。操作上相当于上面说的舍弃掉多余的小数位

10.111 是-1.125,fix到向0方向即向上方向距离它最近的Q3.1格式的数是-1即11.0,即10.111 >> 10.1 + 1 >> 11.0。操作上相当于上面说的舍弃掉多余的小数位,然后加1。

        其他类似,不赘述了。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/375458.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【SQL】如何用SQL写透视表

【背景】 报表中有一大需求是透视表,目前有很多分析类应用也搭载了此类功能,那么我们能不能直接用SQL做透视表呢? 【分析】 BI类软件将透视表功能做在了前端,但是数据本身还是存储在数据库中,所以必然有方法可以用SQL直接实现透视表。 【心法】 透视表是任意选取一个…

LVS集群及其它的NAT模式

1.lvs集群作用&#xff1a;是linux的内核层面实现负载均衡的软件&#xff1b;将多个后端服务器组成一个高可用、高性能的服务器的集群&#xff0c;通过负载均衡的算法将客户端的请求分发到后端的服务器上&#xff0c;通过这种方式实现高可用和负载均衡。 2.集群和分布式&#…

开源项目的认识理解

目录 开源项目有哪些机遇与挑战&#xff1f; 1.开源项目的发展趋势 2.开源的经验分享&#xff08;向大佬请教与上网查询&#xff09; 3.开源项目的挑战 开源项目有哪些机遇与挑战&#xff1f; 1.开源项目的发展趋势 1. 持续增长与普及 - 开源项目将继续增长&#xff0c…

Java客户端调用SOAP方式的WebService服务实现方式分析

简介 在多系统交互中&#xff0c;有时候需要以Java作为客户端来调用SOAP方式的WebService服务&#xff0c;本文通过分析不同的调用方式&#xff0c;以Demo的形式&#xff0c;帮助读者在生产实践中选择合适的调用方式。 本文JDK环境为JDK17。 结论 推荐使用Axis2或者Jaxws&#…

3款自己电脑就可以运行AI LLM的项目

AnythingLLM、LocalGPT和PrivateGPT都是与大语言模型&#xff08;LLM&#xff09;相关的项目&#xff0c;它们允许用户在本地环境中与文档进行交互&#xff0c;但它们在实现方式和特点上存在一些差异。AnythingLLM使用Pinecone和ChromaDB来处理矢量嵌入&#xff0c;并使用OpenA…

Flask项目搭建及部署 —— Python

flask搭建及部署 pip 19.2.3 python 3.7.5 Flask 1.1.1 Flask-SQLAlchemy 2.4.1 Pika 1.1.0 Redis 3.3.11 flask-wtf 0.14.2 1、创建flask项目&#xff1a; 创建完成后整个项目结构树&#xff1a; app.py: 项⽬管理⽂件&#xff0c;通过它管理项⽬。 static: 存放静态…

Visio 2019 中文版安装下载教程【32/64位】,图文步骤详解,超简单,无套路!!!

文章目录 前言软件介绍软件下载安装步骤激活步骤 前言 本文针对visio 2019 的下载安装进行详细讲解&#xff0c;没有任何套路&#xff0c;大家可以放心使用&#xff0c;由于该类文章容易被和谐&#xff0c;大家可以收藏关注&#xff0c;以免迷路&#xff0c;若安装出现问题&am…

ubuntu重装系统后,安装cuda,cudnn

一、 先安装驱动&#xff0c;如果驱动安装不成功&#xff0c;会影响桌面&#xff0c;再重装系统还来得及&#xff0c;尝试了很多方法&#xff0c;还是用系统安装最靠谱&#xff1a; 首先进入Ubuntu搜索栏目&#xff0c;找到软件更新器的一个图标&#xff0c;点开之后是这样的。…

电力需求预测挑战赛笔记 Taks1 跑通baseline

#AI夏令营 #Datawhale #夏令营 赛题 一句话介绍赛题任务可以这样理解赛题&#xff1a; 【训练时序预测模型助力电力需求预测】 电力需求的准确预测对于电网的稳定运行、能源的有效管理以及可再生能源的整合至关重要。 赛题任务 给定多个房屋对应电力消耗历史 N 天的相关序列数…

网信大数据信用报告查询怎么查?网信大数据有什么作用?

随着互联网的快速发展&#xff0c;大数据技术已经广泛应用于各行各业。其中&#xff0c;网信大数据信用报告查询成为了许多人关注的焦点。那么&#xff0c;如何查询网信大数据信用报告呢?网信大数据又有哪些作用呢?本文将为您一一解答。 一、如何查询网信大数据信用报告? 要…

keepalive和haproxy

1、keepalive 1.1概念 调度器的高可用 vip地址主备之间的切换&#xff0c;主在工作时&#xff0c;vip地址只在主上&#xff0c;主停止工作&#xff0c;vip漂移到备服务器 在主备的优先级不变的情况下&#xff0c;主恢复工作&#xff0c;vip会飘回到主服务器 1、配优先级 …

java通过poi-tl导出word实战详细步骤

文章目录 与其他模版引擎对比1.引入maven依赖包2.新建Word文档exportWprd.docx模版3.编写导出word接口代码4.导出成果 poi-tl是一个基于Apache POI的Word模板引擎&#xff0c;也是一个免费开源的Java类库&#xff0c;你可以非常方便的加入到你的项目中&#xff0c;并且拥有着让…

图论·Day01

P3371 P4779 P3371 【模板】单源最短路径&#xff08;弱化版&#xff09; 注意的点&#xff1a; 边有重复&#xff0c;选择最小边&#xff01;对于SPFA算法容易出现重大BUG&#xff0c;没有负权值的边时不要使用&#xff01;&#xff01;&#xff01; 70分代码 朴素板dijsk…

100 个网络基础知识普及,看完成半个网络高手!

1&#xff09;什么是链接&#xff1f; 链接是指两个设备之间的连接。它包括用于一个设备能够与另一个设备通信的电缆类型和协议。 2&#xff09;OSI 参考模型的层次是什么&#xff1f; 有 7 个 OSI 层&#xff1a;物理层&#xff0c;数据链路层&#xff0c;网络层&#xff0…

医疗器械网络安全 | 漏洞扫描、渗透测试没有发现问题,是否说明我的设备是安全的?

尽管漏洞扫描、模糊测试和渗透测试在评估系统安全性方面是非常重要和有效的工具&#xff0c;但即使这些测试没有发现任何问题&#xff0c;也不能完全保证您的医疗器械是绝对安全的。这是因为安全性的评估是一个多维度、复杂且持续的过程&#xff0c;涉及多个方面和因素。以下是…

uniapp实现table排序

根据后端接口传来的数字大小对列表进行升序/降序展示 效果图&#xff0c;价格由高到低降序 价格由低到高 升序 js 降序升序代码如下 export default {data() {return {MtList:[]}},onLoad() {this.MtypeName();//加载列表方法},methods: {MtypeName(){//列表方法this.$api.…

办公技巧:如何编辑带有工作表保护的Excel文件?

在日常工作中&#xff0c;我们经常会遇到带有工作表保护的Excel文件&#xff0c;这些文件虽然可以被打开查看&#xff0c;但无法直接编辑或修改其中的数据。然而&#xff0c;在某些情况下&#xff0c;我们可能需要编辑这些受保护的工作表以满足工作需求。本文将介绍几种方法来编…

【Linux】Linux操作系统

Linux基本指令 os概念与定位 本节内容&#xff1a; Linux操作系统讲解 os概念与定位 操作系统&#xff08;Operating System&#xff0c;简称OS&#xff09;是管理和控制计算机硬件与软件资源的计算机程序。总的来讲&#xff0c;操作系统是一款做软硬件管理的软件。 了解操作…

FastGPT+OneAI接入网络模型

文章目录 FastGPT连接OneAI接入网络模型1.准备工作2.开始部署2.1下载 docker-compose.yml2.2修改docker-compose.yml里的参数 3.打开FastGPT添加模型3.1打开OneAPI3.2接入网络模型3.3重启服务 FastGPT连接OneAI接入网络模型 1.准备工作 本文档参考FastGPT的官方文档 主机ip接…

配置光源——笔记

一、灯光的类型 (一&#xff09;Directional Light&#xff08;定向光&#xff09; 1、只改变方向变化&#xff0c;不记录位置变化 2、相当于太阳光 3、室外一般使用 (二&#xff09;Spot 聚光灯&#xff1a;昏暗&#xff08;凌晨或傍晚&#xff09;&#xff0c;有一个光斑…