STM32——GPIO(点亮LEDLED闪烁)

一、什么是GPIO?

GPIO(通用输入输出接口):

1.GPIO 功能概述
 

 GPIO 是通用输入/输出(General Purpose I/O)的简称,既能当输入口使用,又能当输出口使用。端口,就是元器件上的一个引脚,可以通过软件去控制。

在大多数的时候,我们将IO口可以看为一个电子开关,通过向IO寄存器中写入相应的值,其输出的电平就随着寄存器的变化而变化,这是IO口的输出,在大多数的单片机中,需要去配置单片机的IO模式,是输入还是输出。

即需要预先初始化,配置GPIO的参数,再去使用GPIO。在51单片机中不支持这样的操作,即不能配置GPIO的参数,但在32我们就必须去配置GPIO从而完成某种功能。

输出功能 :继电器、 LED、蜂鸣器等的控制
输入功能 :传感器状态、高低电平等信息的读取
复用功能 :片内外设的对外接口
时序模拟 :模拟 SPI、I2C 和 UART 等常用接口的时序

2.端口和引脚


(1)端口(PORT): 独立的外设子模块,包括多个引脚,通过多个硬件寄存器控制引脚。
GPIO 模块由端口 GPIOA、GPIOB、GPIOC 等多个独立的子模块构成。

例如:端口 GPIOA 包括 PA0 ~ PA15 这 16 个引脚,通过 10 个硬件寄存器控制引脚工作。

(2)引脚(PIN): 对应微控制器的一个管脚,归属于端口,由端口寄存器的对应位控制。
PA0,属于端口 GPIOA,输出电平由端口 GPIOA 的输出数据寄存器 GPIOA_ODR 的第 0 位决定。

3.GPIO电路

  1. 注: VDD_FT 5V容忍I/O脚是特殊的,它与VDD不同

4.GPIO的8种工作模式

  1. 输入浮空:输入用,完全浮空,IO状态不定,由外部环境决定
  2. 输入上拉:输入用 ,内部下拉,IO默认是高电平
  3. 输入下拉:空闲时,IO默认是低电平
  4. 模拟功能:专门用于模拟信号的输入输出,如ADC/DAC
  5. 开漏输出:不能输出高电平,必须由外部或内部上拉电阻才能输出高电平,如软件IIC的SDL、SCL等
  6. 推挽输出:高低电平的驱动能力强,25ma 通用输出
  7. 开漏式复用功能: 可输出高低电平,片上外设功能(硬件IIC的SDL、SCL等)
  8. 推挽式复用功能:可输出高低电平,驱动力强,由其他外设控制输出

二、GPIO配置步骤

1、点亮LED代码演示

#include "stm32f10x.h"                  // Device headerint main(void)
{RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE); //使能时钟GPIO_InitTypeDef GPIO_InitStruct;GPIO_InitStruct.GPIO_Mode = GPIO_Mode_Out_PP;GPIO_InitStruct.GPIO_Pin = GPIO_Pin_0;GPIO_InitStruct.GPIO_Speed = GPIO_Speed_50MHz;GPIO_Init (GPIOA ,&GPIO_InitStruct);GPIO_ResetBits(GPIOA ,GPIO_Pin_0);while(1){}
}

可以看到,实物图中LED灯一端接的+极,另一端接的A0,因此想要LED点亮,就需要给A0低电平,用GPIO_ResetBits(GPIOA ,GPIO_Pin_0)函数就能实现,相反如果LED灯一端接的负极,那么此时A0就应该给予高电平才会亮,也就是使用GPIO_SetBits(GPIOA ,GPIO_Pin_0)函数;同样,如果使用GPIO_WriteBit(GPIOA ,GPIO_Pin_0,Bit_RESET)函数也可以将LED点亮,与前面两个函数区别的是这个函数多了一个参数,而就是这个参数决定了赋予高电平函数低电平。

但要注意:以上均是在GPIO推挽输出的模式下进行的,如果模式不同,高电平与低电平的驱动力不同,此时如果把GPIO换成开漏输出模式,此时只有低电平才具有驱动能力,那就意味着如果想要点灯,那么LED一端只能接+极,A0口给低电平才能够点亮LED,反正如果一段给低电平,A0口给高电平是不会点亮的,因为高电平是没有驱动能力的,无法驱动LED点亮

以上就是这三个函数的用法。

2、GPIO配置步骤

(1)使能时钟(使用RCC开启GPIO的时钟)

以上三个是最为常用的外设时钟控制

RCC AHB外设时钟控制
RCC APB2外设时钟控制
RCC APB1外设时钟控制

在点灯代码中我们使用的是 :RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE)

右键点击就可以跳转到rcc.c文件里面的函数定义

第一个参数就是选择哪个外设(蓝笔),第二个参数就是使能或失能(红笔)

(2)使用GPIO_Init函数初始化GPIO

GPIO_Init (GPIOA ,&GPIO_InitStruct)

这个函数的作用是通过结构体的参数(GPIO_InitStruct)来定义GPIO口(GPIOA);

所以接下来我们需要定义一个结构体变量,然后给结构体赋值,最后调用这个函数,也就是代码里面的:GPIO_InitTypeDef GPIO_InitStruct;其中GPIO_InsStruct为结构体的名字。

上图中这四个为GPIO的读取函数,紧接着的下面的四个为GPIO的写入函数;通过这8个函数就可以实现对GPIO口的读写。GPIO_ResetBits(GPIOA ,GPIO_Pin_0);这里就是对IO口进行赋予低电平,前面实物图下方也有介绍过。

然后我们要复制结构体的名字通过点(.)把它的子成员引出,也就是

GPIO_InitStruct.GPIO_Mode 

 GPIO_InitStruct.GPIO_Pin 
 GPIO_InitStruct.GPIO_Speed 

然后进行赋值:GPIO_InitStruct.GPIO_Mode = GPIO_Mode_Out_PP;
    GPIO_InitStruct.GPIO_Pin = GPIO_Pin_0;
    GPIO_InitStruct.GPIO_Speed = GPIO_Speed_50MHz;

怎么样进行赋值呢?还是老样子先跳转到函数定义处:

然后

进行模式选择;其他的速度以及引脚也是同理进行操作选择复制即可。 

三、LED闪烁

有了前面的基础,学习过51的都知道,只需要加上一个延时函数即可完成LED闪烁:

#include "stm32f10x.h"                  // Device header
#include "Delay.h"int main(void)
{RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);GPIO_InitTypeDef GPIO_InitStruct;GPIO_InitStruct.GPIO_Mode = GPIO_Mode_Out_PP;GPIO_InitStruct.GPIO_Pin = GPIO_Pin_0;GPIO_InitStruct.GPIO_Speed = GPIO_Speed_50MHz;GPIO_Init (GPIOA ,&GPIO_InitStruct);while(1){GPIO_WriteBit(GPIOA ,GPIO_Pin_0,Bit_RESET);Delay_ms(500);GPIO_WriteBit(GPIOA ,GPIO_Pin_0,Bit_SET);Delay_ms(500);}
}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/383954.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

uniapp手写滚动选择器

文章目录 效果展示HTML/Template部分&#xff1a;JavaScript部分&#xff1a;CSS部分&#xff1a;完整代码 没有符合项目要求的选择器 就手写了一个 效果展示 实现一个时间选择器的功能&#xff0c;可以选择小时和分钟&#xff1a; HTML/Template部分&#xff1a; <picker…

【OpenCV C++20 学习笔记】扫描图片数据

扫描图片数据 应用情景图像数据扫描的难点颜色空间缩减&#xff08;color space reduction&#xff09;查询表 扫描算法计算查询表统计运算时长连续内存3种扫描方法C风格的扫描方法迭代器方法坐标方法LUT方法 算法效率对比结论 应用情景 图像数据扫描的难点 在上一篇文章《基…

调度子系统在特定时间执行

时序逻辑调度器设计模式允许您安排Simulink子系统在指定时间执行。以下模型说明了这种设计模式。 时序逻辑调度器图表包含以下逻辑&#xff1a; 时序逻辑调度器的关键行为 时序逻辑调度器图表包含两个状态&#xff0c;它们以不同的速率调度函数调用子系统A1、A2和A3的执行&…

【管控业财一体化】

1. 引言 大型集团在现代企业管理中扮演着举足轻重的角色&#xff0c;其管控业财一体化解决方案是实现企业高效运营的关键。随着数字化转型的加速&#xff0c;业财一体化不再局限于财务与业务流程的简单融合&#xff0c;而是向着更深层次的数据驱动、智能化决策和价值创造方向发…

Python小工具——监听某网站的数据变化并进行邮件通知

目录 一、需求描述 二、解析 三、实例代码 一、需求描述 监听自考网2024年广东省6月份的毕业生学历注册进度&#xff0c;这是网址&#xff1a;https://www.chsi.com.cn/xlcx/count_zk.jsp&#xff0c; 如上图所示&#xff0c;我们想知道这个红色的空格啥时候被填满&#xf…

7月26日贪心练习-摆动序列专题

前言 大家好&#xff0c;今天学习用贪心思想解决摆动序列问题&#xff0c;共三题&#xff0c;分享自己的思路&#xff0c;请大家多多支持 算法思想 大家可以先看看这道我们后面会讲的题看看怎么个事&#xff0c;. - 力扣&#xff08;LeetCode&#xff09; 由此题题解说明算…

SwiftSage:参考人脑双系统,结合快思和慢想的智能体,解决复杂任务同时降低成本

SwiftSage&#xff1a;参考人脑双系统&#xff0c;结合快思和慢想的智能体&#xff0c;解决复杂任务同时降低成本 提出背景解法拆解子解法1&#xff1a;SWIFT模块子解法2&#xff1a;SAGE模块模块整合和决策树逻辑链 SwiftSage 工作流程效果 论文&#xff1a;SWIFTSAGE: A Gene…

GMSSL2.x编译鸿蒙静态库和动态库及使用

一、编译环境准备 1.1 开发工具 DevEco-Studio下载。 1.2 SDK下载 下载编译第三方库的SDK有两种方式&#xff0c;第一种方式从官方渠道根据电脑系统选择对应的SDK版本&#xff0c;第二种方式通过DevEco-Studio下载SDK。本文只介绍通过DevEco-Studio下载SDK的方式。 安装SD…

SSD基本架构与工作原理

SSD的核心由一个或多核心的CPU控制器、DRAM缓存以及多个NAND闪存芯片组成。CPU控制器负责管理所有读写操作&#xff0c;并通过DRAM缓存存储映射表等元数据&#xff0c;以加速寻址过程。 NAND闪存则是数据存储的实际介质&#xff0c;其组织结构从大到小依次为通道&#xff08;包…

海山数据库(He3DB)性能优化方案解析

前端优化是一个永恒的话题&#xff0c;每个前端开发者都希望自己的页面能够快速加载&#xff0c;给用户良好的体验。但往往事与愿违。因此&#xff0c;本文从编码优化、构建优化、部署优化三方面入手进行web页面性能优化。 1. 编码优化 1.1. Css优化 1.1.1. 合理使用css选择…

HarmonyOS NEXT零基础入门到实战-第四部分

自定义组件: 概念: 由框架直接提供的称为 系统组件&#xff0c; 由开发者定义的称为 自定义组件。 源代码&#xff1a; Component struct MyCom { build() { Column() { Text(我是一个自定义组件) } } } Component struct MyHeader { build() { Row(…

【React】package.json 文件详解

文章目录 一、package.json 文件的基本结构二、package.json 文件的关键字段1. name 和 version2. description3. main4. scripts5. dependencies 和 devDependencies6. repository7. keywords8. author 和 license9. bugs 和 homepage 三、package.json 文件的高级配置1. 配置…

十、SpringBoot 统⼀功能处理【拦截器、统一数据返回格式、统一异常处理】

十、SpringBoot 统⼀功能处理 1. 拦截器【HandlerInterceptor、WebMvcConfig】1.1 拦截器快速⼊⻔⾃定义拦截器&#xff1a;实现HandlerInterceptor接⼝&#xff0c;并重写其所有⽅法注册配置拦截器&#xff1a;实现WebMvcConfigurer接⼝&#xff0c;并重写addInterceptors⽅法…

压测实操--produce压测方案

作者&#xff1a;九月 环境信息&#xff1a; 操作系统centos7.9&#xff0c;kafka版本为hdp集群中的2.0版本。 Producer相关参数 使用Kafka自带的kafka-producer-perf-test.sh脚本进行压测&#xff0c;该脚本参数为&#xff1a; 在producer涉及到性能的关键因素可能会存在如…

DetectorRS

文章目录 AbstractMethodExperimentAblation StudyMain Results Conclusion未来展望 link code Abstract 本文介绍了一种新的对象检测器——DetectoRS&#xff0c;通过在骨干网络设计中引入递归特征金字塔和可切换的空洞卷积机制&#xff0c;实现了出色的性能提升。在宏观层面…

谷粒商城实战笔记-54-商品服务-API-三级分类-拖拽效果

文章目录 一&#xff0c;54-商品服务-API-三级分类-修改-拖拽效果1&#xff0c;el-tree控件加上允许拖拽的属性2&#xff0c;是否允许拖拽3&#xff0c;完整代码 一&#xff0c;54-商品服务-API-三级分类-修改-拖拽效果 本节的主要内容是给三级分类树形结构加上拖拽功能&#…

四、GD32 MCU 常见外设介绍 (4) EXTI 中断介绍

4.EXTI 中断介绍 EXTI(中断/事件控制器)包含多个相互独立的边沿检测电路并且能够向处理器内核产生中断请求或唤醒事件。 EXTI 有三种触发类型&#xff1a;上升沿触发、下降沿触发和任意沿触发。 EXTI中的每一个边沿检测电路都可以独立配置和屏蔽。 4.1.GD32 EXTI 外设原理简介…

如何使用C#自制一个Windows安装包

原文链接&#xff1a;https://www.cnblogs.com/zhaotianff/p/17387496.html 以前都在用InstallShield制作安装包&#xff0c;基本需求是能满足的&#xff0c;但也有一些缺点&#xff1a; 1、界面不能完全定制 2、不能直接调用代码里的功能 平常使用一些其它软件&#xff0c;…

【基础算法总结】优先级队列

优先级队列 1.最后一块石头的重量2.数据流中的第 K 大元素4.前K个高频单词4.数据流的中位数 点赞&#x1f44d;&#x1f44d;收藏&#x1f31f;&#x1f31f;关注&#x1f496;&#x1f496; 你的支持是对我最大的鼓励&#xff0c;我们一起努力吧!&#x1f603;&#x1f603; 1…

FPGA开发——LED流水灯实现先从左往右流水,再从右往左流水

一、概述 我们在设计完一个方向的流水灯的设计时&#xff0c;总是会想实现让流水灯倒着流水回去的设计&#xff0c;这里我也是一样&#xff0c;实现这种设计的方法有很多种&#xff0c;其中就有直接使用case语句将所有可能包含进去编写&#xff0c;这种设计方法是最简单的&…