正点原子imx6ull-mini-Linux驱动之异步通知实验(13)

在前面使用阻塞或者非阻塞的方式来读取驱动中按键值都是应用程序主动读取的,对于非 阻塞方式来说还需要应用程序通过 poll 函数不断的轮询最好的方式就是驱动程序能主动向应 用程序发出通知,报告自己可以访问,然后应用程序在从驱动程序中读取或写入数据,类似于 我们在裸机例程中讲解的中断。Linux 提供了异步通知这个机制来完成此功能,本章我们就来 学习一下异步通知以及如何在驱动中添加异步通知相关处理代码

1:异步通知

1.1:异步通知简介

我们首先来回顾一下“中断”,中断是处理器提供的一种异步机制,我们配置好中断以后就 可以让处理器去处理其他的事情了,当中断发生以后会触发我们事先设置好的中断服务函数, 在中断服务函数中做具体的处理。比如我们在裸机篇里面编写的 GPIO 按键中断实验,我们通 过按键去开关蜂鸣器,采用中断以后处理器就不需要时刻的去查看按键有没有被按下,因为按 键按下以后会自动触发中断。同样的,Linux 应用程序可以通过阻塞或者非阻塞这两种方式来 访问驱动设备,通过阻塞方式访问的话应用程序会处于休眠态,等待驱动设备可以使用,非阻 塞方式的话会通过 poll 函数来不断的轮询,查看驱动设备文件是否可以使用。这两种方式都需 要应用程序主动的去查询设备的使用情况,如果能提供一种类似中断的机制,当驱动程序可以 访问的时候主动告诉应用程序那就最好了。 “信号”为此应运而生,信号类似于我们硬件上使用的“中断”,只不过信号是软件层次上 的。算是在软件层次上对中断的一种模拟,驱动可以通过主动向应用程序发送信号的方式来报 告自己可以访问了,应用程序获取到信号以后就可以从驱动设备中读取或者写入数据了。整个 过程就相当于应用程序收到了驱动发送过来了的一个中断,然后应用程序去响应这个中断,在 整个处理过程中应用程序并没有去查询驱动设备是否可以访问,一切都是由驱动设备自己告诉 给应用程序的。 阻塞、非阻塞、异步通知,这三种是针对不同的场合提出来的不同的解决方法,没有优劣 之分,在实际的工作和学习中,根据自己的实际需求选择合适的处理方法即可。 异步通知的核心就是信号,在 arch/xtensa/include/uapi/asm/signal.h 文件中定义了 Linux 所支 持的所有信号,这些信号如下所示:

#define SIGHUP 1 /* 终端挂起或控制进程终止 */
#define SIGINT 2 /* 终端中断(Ctrl+C 组合键) */
#define SIGQUIT 3 /* 终端退出(Ctrl+\组合键) */
#define SIGILL 4 /* 非法指令 */
#define SIGTRAP 5 /* debug 使用,有断点指令产生 */
#define SIGABRT 6 /* 由 abort(3)发出的退出指令 */
#define SIGIOT 6 /* IOT 指令 */
#define SIGBUS 7 /* 总线错误 */
#define SIGFPE 8 /* 浮点运算错误 */
#define SIGKILL 9 /* 杀死、终止进程 */
#define SIGUSR1 10 /* 用户自定义信号 1 */
#define SIGSEGV 11 /* 段违例(无效的内存段) */
#define SIGUSR2 12 /* 用户自定义信号 2 */
#define SIGPIPE 13 /* 向非读管道写入数据 */
#define SIGALRM 14 /* 闹钟 */
#define SIGTERM 15 /* 软件终止 */
#define SIGSTKFLT 16 /* 栈异常 */
#define SIGCHLD 17 /* 子进程结束 */
#define SIGCONT 18 /* 进程继续 */
#define SIGSTOP 19 /* 停止进程的执行,只是暂停 */
#define SIGTSTP 20 /* 停止进程的运行(Ctrl+Z 组合键) */
#define SIGTTIN 21 /* 后台进程需要从终端读取数据 */
#define SIGTTOU 22 /* 后台进程需要向终端写数据 */
#define SIGURG 23 /* 有"紧急"数据 */
#define SIGXCPU 24 /* 超过 CPU 资源限制 */
#define SIGXFSZ 25 /* 文件大小超额 */
#define SIGVTALRM 26 /* 虚拟时钟信号 */
#define SIGPROF 27 /* 时钟信号描述 */
#define SIGWINCH 28 /* 窗口大小改变 */
#define SIGIO 29 /* 可以进行输入/输出操作 */
#define SIGPOLL SIGIO 
/* #define SIGLOS 29 */
#define SIGPWR 30 /* 断点重启 */
#define SIGSYS 31 /* 非法的系统调用 */
#define SIGUNUSED 31 /* 未使用信号 */

在示例代码 53.1.1.1 中的这些信号中,除了 SIGKILL(9)和 SIGSTOP(19)这两个信号不能被 忽略外,其他的信号都可以忽略。这些信号就相当于中断号,不同的中断号代表了不同的中断, 不同的中断所做的处理不同,因此,驱动程序可以通过向应用程序发送不同的信号来实现不同 的功能。 我们使用中断的时候需要设置中断处理函数,同样的,如果要在应用程序中使用信号,那 么就必须设置信号所使用的信号处理函数,在应用程序中使用 signal 函数来设置指定信号的处 理函数,signal 函数原型如下所示:

sighandler_t signal(int signum, sighandler_t handler)

函数参数和返回值含义如下:

signum:要设置处理函数的信号。

handler:信号的处理函数。

返回值:设置成功的话返回信号的前一个处理函数,设置失败的话返回 SIG_ERR。

信号处理函数原型如下所示:

typedef void (*sighandler_t)(int)

我们前面讲解的使用“kill -9 PID”杀死指定进程的方法就是向指定的进程(PID)发送 SIGKILL 这个信号。当按下键盘上的 CTRL+C 组合键以后会向当前正在占用终端的应用程序发 出 SIGINT 信号,SIGINT 信号默认的动作是关闭当前应用程序。这里我们修改一下 SIGINT 信 号的默认处理函数,当按下 CTRL+C 组合键以后先在终端上打印出“SIGINT signal!”这行字 符串,然后再关闭当前应用程序。新建 signaltest.c 文件,然后输入如下所示内容:

#include "stdlib.h"
#include "stdio.h"
#include "signal.h"void sigint_handler(int num)
{printf("\r\nSIGINT signal!\r\n");exit(0);
}int main(void)
{signal(SIGINT, sigint_handler);while(1);return 0;
}

在示例代码 53.1.1.2 中我们设置 SIGINT 信号的处理函数为 sigint_handler,当按下 CTRL+C 向 signaltest 发送 SIGINT 信号以后 sigint_handler 函数就会执行,此函数先输出一行“SIGINT signal!”字符串,然后调用 exit 函数关闭 signaltest 应用程序。 使用如下命令编译 signaltest.c:

gcc signaltest.c -o signaltest

然后输入“./signaltest”命令打开 signaltest 这个应用程序,然后按下键盘上的 CTRL+C 组 合键,结果如图 53.1.1.1 所示:

从图 53.1.1.1 可以看出,当按下 CTRL+C 组合键以后 sigint_handler 这个 SIGINT 信号处理 函数执行了,并且输出了“SIGINT signal!”这行字符串。 

1.2:驱动中的信号处理

1.2.1:fasync_struct 结构体

首先我们需要在驱动程序中定义一个 fasync_struct 结构体指针变量,fasync_struct 结构体内 容如下:

struct fasync_struct {spinlock_t fa_lock;int magic;int fa_fd;struct fasync_struct *fa_next;struct file *fa_file;struct rcu_head fa_rcu;
};

一般将 fasync_struct 结构体指针变量定义到设备结构体中,比如在上一章节的 imx6uirq_dev 结构体中添加一个 fasync_struct 结构体指针变量,结果如下所示:

struct imx6uirq_dev { struct device *dev;struct class *cls;struct cdev cdev;......struct fasync_struct *async_queue; /* 异步相关结构体 */
};

第 14 行就是在 imx6uirq_dev 中添加了一个 fasync_struct 结构体指针变量。

1.2.2:fasync 函数

如果要使用异步通知,需要在设备驱动中实现 file_operations 操作集中的 fasync 函数,此 函数格式如下所示:

int (*fasync) (int fd, struct file *filp, int on)

fasync 函数里面一般通过调用 fasync_helper 函数来初始化前面定义的 fasync_struct 结构体 指针,fasync_helper 函数原型如下:

int fasync_helper(int fd, struct file * filp, int on, struct fasync_struct **fapp)

fasync_helper 函数的前三个参数就是 fasync 函数的那三个参数,第四个参数就是要初始化 的 fasync_struct 结构体指针变量。当应用程序通过“fcntl(fd, F_SETFL, flags | FASYNC)”改变 fasync 标记的时候,驱动程序 file_operations 操作集中的 fasync 函数就会执行。 驱动程序中的 fasync 函数参考示例如下:

 struct xxx_dev {......struct fasync_struct *async_queue; /* 异步相关结构体 */
};static int xxx_fasync(int fd, struct file *filp, int on)
{struct xxx_dev *dev = (xxx_dev)filp->private_data;if (fasync_helper(fd, filp, on, &dev->async_queue) < 0)return -EIO;return 0;}static struct file_operations xxx_ops = {.......fasync = xxx_fasync,......};

在关闭驱动文件的时候需要在 file_operations 操作集中的 release 函数中释放 fasync_structfasync_struct 的释放函数同样为 fasync_helper,release 函数参数参考实例如下:

static int xxx_release(struct inode *inode, struct file *filp)
{return xxx_fasync(-1, filp, 0); /* 删除异步通知 */
}static struct file_operations xxx_ops = {.......release = xxx_release,
};

第 3 行通过调用示例代码 53.1.2.3 中的 xxx_fasync 函数来完成 fasync_struct 的释放工作, 但是,其最终还是通过 fasync_helper 函数完成释放工作。

1.2.3:kill_fasync 函数

当设备可以访问的时候,驱动程序需要向应用程序发出信号,相当于产生“中断”。kill_fasync 函数负责发送指定的信号,kill_fasync 函数原型如下所示:

void kill_fasync(struct fasync_struct **fp, int sig, int band)

函数参数和返回值含义如下:

fp:要操作的 fasync_struct。

sig:要发送的信号。 band:可读时设置为 POLL_IN,可写时设置为 POLL_OUT。

返回值:无。

1.3:应用程序对异步通知的处理

应用程序对异步通知的处理包括以下三步:

1.3.1:注册信号处理函数

应用程序根据驱动程序所使用的信号来设置信号的处理函数,应用程序使用 signal 函数来 设置信号的处理函数。前面已经详细的讲过了,这里就不细讲了。

1.3.2:将本应用程序的进程号告诉给内核

使用 fcntl(fd, F_SETOWN, getpid())将本应用程序的进程号告诉给内核。

1.3.3:开启异步通知

使用如下两行程序开启异步通知:

flags = fcntl(fd, F_GETFL); /* 获取当前的进程状态 */
fcntl(fd, F_SETFL, flags | FASYNC); /* 开启当前进程异步通知功能 */

重点就是通过 fcntl 函数设置进程状态为 FASYNC,经过这一步,驱动程序中的 fasync 函 数就会执行

2:实验程序编写

本章实验我们在上一章实验“15_noblockio”的基础上完成,在其中加入异步通知相关内容 即可,当按键按下以后驱动程序向应用程序发送 SIGIO 信号,应用程序获取到 SIGIO 信号以后 读取并且打印出按键值。

2.1:修改设备树文件

因为是在实验“15_noblockio”的基础上完成的,因此不需要修改设备树。

2.2:程序编写

新建名为“16_asyncnoti”的文件夹,然后在 16_asyncnoti 文件夹里面创建 vscode 工程,工 作区命名为“asyncnoti”。将“15_noblockio”实验中的 noblockio.c 复制到 16_asyncnoti 文件夹 中,并重命名为 asyncnoti.c。接下来我们就修改 asyncnoti.c 这个文件,在其中添加异步通知关 的代码,完成以后的 asyncnoti.c 内容如下所示(因为是在上一章实验的 noblockio.c 文件的基础 上修改的,因为了减少篇幅,下面的代码有省略):

#include <linux/types.h>
#include <linux/kernel.h>
#include <linux/delay.h>
#include <linux/ide.h>
#include <linux/init.h>
#include <linux/module.h>
#include <linux/errno.h>
#include <linux/gpio.h>
#include <linux/cdev.h>
#include <linux/device.h>
#include <linux/of.h>
#include <linux/of_address.h>
#include <linux/of_gpio.h>
#include <linux/semaphore.h>
#include <linux/timer.h>
#include <linux/of_irq.h>
#include <linux/irq.h>
#include <linux/fcntl.h>
#include <linux/wait.h>
#include <linux/poll.h>
#include <asm/mach/map.h>
#include <asm/uaccess.h>
#include <asm/io.h>
/***************************************************************
Copyright © ALIENTEK Co., Ltd. 1998-2029. All rights reserved.
文件名		: asyncnoti.c
作者	  	: 左忠凯
版本	   	: V1.0
描述	   	: 非阻塞IO访问
其他	   	: 无
论坛 	   	: www.openedv.com
日志	   	: 初版V1.0 2019/8/13 左忠凯创建
***************************************************************/
#define IMX6UIRQ_CNT		1			/* 设备号个数 	*/
#define IMX6UIRQ_NAME		"asyncnoti"	/* 名字 		*/
#define KEY0VALUE			0X01		/* KEY0按键值 	*/
#define INVAKEY				0XFF		/* 无效的按键值 */
#define KEY_NUM				1			/* 按键数量 	*//* 中断IO描述结构体 */
struct irq_keydesc {int gpio;								/* gpio */int irqnum;								/* 中断号     */unsigned char value;					/* 按键对应的键值 */char name[10];							/* 名字 */irqreturn_t (*handler)(int, void *);	/* 中断服务函数 */
};/* imx6uirq设备结构体 */
struct imx6uirq_dev{dev_t devid;			/* 设备号 	 */	struct cdev cdev;		/* cdev 	*/                 struct class *class;	/* 类 		*/struct device *device;	/* 设备 	 */int major;				/* 主设备号	  */int minor;				/* 次设备号   */struct device_node	*nd; /* 设备节点 */	atomic_t keyvalue;		/* 有效的按键键值 */atomic_t releasekey;	/* 标记是否完成一次完成的按键,包括按下和释放 */struct timer_list timer;/* 定义一个定时器*/struct irq_keydesc irqkeydesc[KEY_NUM];	/* 按键init述数组 */unsigned char curkeynum;				/* 当前init按键号 */wait_queue_head_t r_wait;				/* 读等待队列头 */struct fasync_struct *async_queue;		/* 异步相关结构体 */
};struct imx6uirq_dev imx6uirq;	/* irq设备 *//* @description		: 中断服务函数,开启定时器		*				  	  定时器用于按键消抖。* @param - irq 	: 中断号 * @param - dev_id	: 设备结构。* @return 			: 中断执行结果*/
static irqreturn_t key0_handler(int irq, void *dev_id)
{struct imx6uirq_dev *dev = (struct imx6uirq_dev*)dev_id;dev->curkeynum = 0;dev->timer.data = (volatile long)dev_id;mod_timer(&dev->timer, jiffies + msecs_to_jiffies(10));	/* 10ms定时 */return IRQ_RETVAL(IRQ_HANDLED);
}/* @description	: 定时器服务函数,用于按键消抖,定时器到了以后*				  再次读取按键值,如果按键还是处于按下状态就表示按键有效。* @param - arg	: 设备结构变量* @return 		: 无*/
void timer_function(unsigned long arg)
{unsigned char value;unsigned char num;struct irq_keydesc *keydesc;struct imx6uirq_dev *dev = (struct imx6uirq_dev *)arg;num = dev->curkeynum;keydesc = &dev->irqkeydesc[num];value = gpio_get_value(keydesc->gpio); 	/* 读取IO值 */if(value == 0){ 						/* 按下按键 */atomic_set(&dev->keyvalue, keydesc->value);}else{ 									/* 按键松开 */atomic_set(&dev->keyvalue, 0x80 | keydesc->value);atomic_set(&dev->releasekey, 1);	/* 标记松开按键,即完成一次完整的按键过程 */}               if(atomic_read(&dev->releasekey)) {		/* 一次完整的按键过程 */if(dev->async_queue)kill_fasync(&dev->async_queue, SIGIO, POLL_IN);	/* 释放SIGIO信号 */}#if 0/* 唤醒进程 */if(atomic_read(&dev->releasekey)) {	/* 完成一次按键过程 *//* wake_up(&dev->r_wait); */wake_up_interruptible(&dev->r_wait);}
#endif
}/** @description	: 按键IO初始化* @param 		: 无* @return 		: 无*/
static int keyio_init(void)
{unsigned char i = 0;char name[10];int ret = 0;imx6uirq.nd = of_find_node_by_path("/key");if (imx6uirq.nd== NULL){printk("key node not find!\r\n");return -EINVAL;} /* 提取GPIO */for (i = 0; i < KEY_NUM; i++) {imx6uirq.irqkeydesc[i].gpio = of_get_named_gpio(imx6uirq.nd ,"key-gpio", i);if (imx6uirq.irqkeydesc[i].gpio < 0) {printk("can't get key%d\r\n", i);}}/* 初始化key所使用的IO,并且设置成中断模式 */for (i = 0; i < KEY_NUM; i++) {memset(imx6uirq.irqkeydesc[i].name, 0, sizeof(name));	/* 缓冲区清零 */sprintf(imx6uirq.irqkeydesc[i].name, "KEY%d", i);		/* 组合名字 */gpio_request(imx6uirq.irqkeydesc[i].gpio, name);gpio_direction_input(imx6uirq.irqkeydesc[i].gpio);	imx6uirq.irqkeydesc[i].irqnum = irq_of_parse_and_map(imx6uirq.nd, i);
#if 0imx6uirq.irqkeydesc[i].irqnum = gpio_to_irq(imx6uirq.irqkeydesc[i].gpio);
#endif}/* 申请中断 */imx6uirq.irqkeydesc[0].handler = key0_handler;imx6uirq.irqkeydesc[0].value = KEY0VALUE;for (i = 0; i < KEY_NUM; i++) {ret = request_irq(imx6uirq.irqkeydesc[i].irqnum, imx6uirq.irqkeydesc[i].handler, IRQF_TRIGGER_FALLING|IRQF_TRIGGER_RISING, imx6uirq.irqkeydesc[i].name, &imx6uirq);if(ret < 0){printk("irq %d request failed!\r\n", imx6uirq.irqkeydesc[i].irqnum);return -EFAULT;}}/* 创建定时器 */init_timer(&imx6uirq.timer);imx6uirq.timer.function = timer_function;/* 初始化等待队列头 */init_waitqueue_head(&imx6uirq.r_wait);return 0;
}/** @description		: 打开设备* @param - inode 	: 传递给驱动的inode* @param - filp 	: 设备文件,file结构体有个叫做private_data的成员变量* 					  一般在open的时候将private_data指向设备结构体。* @return 			: 0 成功;其他 失败*/
static int imx6uirq_open(struct inode *inode, struct file *filp)
{filp->private_data = &imx6uirq;	/* 设置私有数据 */return 0;
}/** @description     : 从设备读取数据 * @param - filp    : 要打开的设备文件(文件描述符)* @param - buf     : 返回给用户空间的数据缓冲区* @param - cnt     : 要读取的数据长度* @param - offt    : 相对于文件首地址的偏移* @return          : 读取的字节数,如果为负值,表示读取失败*/
static ssize_t imx6uirq_read(struct file *filp, char __user *buf, size_t cnt, loff_t *offt)
{int ret = 0;unsigned char keyvalue = 0;unsigned char releasekey = 0;struct imx6uirq_dev *dev = (struct imx6uirq_dev *)filp->private_data;if (filp->f_flags & O_NONBLOCK)	{ /* 非阻塞访问 */if(atomic_read(&dev->releasekey) == 0)	/* 没有按键按下,返回-EAGAIN */return -EAGAIN;} else {							/* 阻塞访问 *//* 加入等待队列,等待被唤醒,也就是有按键按下 */ret = wait_event_interruptible(dev->r_wait, atomic_read(&dev->releasekey)); if (ret) {goto wait_error;}}keyvalue = atomic_read(&dev->keyvalue);releasekey = atomic_read(&dev->releasekey);if (releasekey) { /* 有按键按下 */	if (keyvalue & 0x80) {keyvalue &= ~0x80;ret = copy_to_user(buf, &keyvalue, sizeof(keyvalue));} else {goto data_error;}atomic_set(&dev->releasekey, 0);/* 按下标志清零 */} else {goto data_error;}return 0;wait_error:return ret;
data_error:return -EINVAL;
}/** @description     : poll函数,用于处理非阻塞访问* @param - filp    : 要打开的设备文件(文件描述符)* @param - wait    : 等待列表(poll_table)* @return          : 设备或者资源状态,*/
unsigned int imx6uirq_poll(struct file *filp, struct poll_table_struct *wait)
{unsigned int mask = 0;struct imx6uirq_dev *dev = (struct imx6uirq_dev *)filp->private_data;poll_wait(filp, &dev->r_wait, wait);	/* 将等待队列头添加到poll_table中 */if(atomic_read(&dev->releasekey)) {		/* 按键按下 */mask = POLLIN | POLLRDNORM;			/* 返回PLLIN */}return mask;
}/** @description     : fasync函数,用于处理异步通知* @param - fd		: 文件描述符* @param - filp    : 要打开的设备文件(文件描述符)* @param - on      : 模式* @return          : 负数表示函数执行失败*/
static int imx6uirq_fasync(int fd, struct file *filp, int on)
{struct imx6uirq_dev *dev = (struct imx6uirq_dev *)filp->private_data;return fasync_helper(fd, filp, on, &dev->async_queue);
}/** @description     : release函数,应用程序调用close关闭驱动文件的时候会执行* @param - inode	: inode节点* @param - filp    : 要打开的设备文件(文件描述符)* @return          : 负数表示函数执行失败*/
static int imx6uirq_release(struct inode *inode, struct file *filp)
{return imx6uirq_fasync(-1, filp, 0);
}/* 设备操作函数 */
static struct file_operations imx6uirq_fops = {.owner = THIS_MODULE,.open = imx6uirq_open,.read = imx6uirq_read,.poll = imx6uirq_poll,.fasync = imx6uirq_fasync,.release = imx6uirq_release,
};/** @description	: 驱动入口函数* @param 		: 无* @return 		: 无*/
static int __init imx6uirq_init(void)
{/* 1、构建设备号 */if (imx6uirq.major) {imx6uirq.devid = MKDEV(imx6uirq.major, 0);register_chrdev_region(imx6uirq.devid, IMX6UIRQ_CNT, IMX6UIRQ_NAME);} else {alloc_chrdev_region(&imx6uirq.devid, 0, IMX6UIRQ_CNT, IMX6UIRQ_NAME);imx6uirq.major = MAJOR(imx6uirq.devid);imx6uirq.minor = MINOR(imx6uirq.devid);}/* 2、注册字符设备 */cdev_init(&imx6uirq.cdev, &imx6uirq_fops);cdev_add(&imx6uirq.cdev, imx6uirq.devid, IMX6UIRQ_CNT);/* 3、创建类 */imx6uirq.class = class_create(THIS_MODULE, IMX6UIRQ_NAME);if (IS_ERR(imx6uirq.class)) {	return PTR_ERR(imx6uirq.class);}/* 4、创建设备 */imx6uirq.device = device_create(imx6uirq.class, NULL, imx6uirq.devid, NULL, IMX6UIRQ_NAME);if (IS_ERR(imx6uirq.device)) {return PTR_ERR(imx6uirq.device);}/* 5、始化按键 */atomic_set(&imx6uirq.keyvalue, INVAKEY);atomic_set(&imx6uirq.releasekey, 0);keyio_init();return 0;
}/** @description	: 驱动出口函数* @param 		: 无* @return 		: 无*/
static void __exit imx6uirq_exit(void)
{unsigned i = 0;/* 删除定时器 */del_timer_sync(&imx6uirq.timer);	/* 删除定时器 *//* 释放中断 */	for (i = 0; i < KEY_NUM; i++) {free_irq(imx6uirq.irqkeydesc[i].irqnum, &imx6uirq);gpio_free(imx6uirq.irqkeydesc[i].gpio);}cdev_del(&imx6uirq.cdev);unregister_chrdev_region(imx6uirq.devid, IMX6UIRQ_CNT);device_destroy(imx6uirq.class, imx6uirq.devid);class_destroy(imx6uirq.class);
}	module_init(imx6uirq_init);
module_exit(imx6uirq_exit);
MODULE_LICENSE("GPL");

第 20 行,添加 fcntl.h 头文件,因为要用到相关的 API 函数。

第 64 行,在设备结构体 imx6uirq_dev 中添加 fasync_struct 指针变量。

第 109~112 行,如果是一次完整的按键过程,那么就通过 kill_fasync 函数发送 SIGIO 信 号。

第 114~120 行,屏蔽掉以前的唤醒进程相关程序。

第 269~273 行,imx6uirq_fasync 函数,为 file_operations 操作集中的 fasync 函数,此函数 内容很简单,就是调用一下 fasync_helper。

第 281~284 行,release 函数,应用程序调用 close 函数关闭驱动设备文件的时候此函数就 会执行,在此函数中释放掉 fasync_struct 指针变量。

第 292~293 行,设置 file_operations 操作集中的 fasync 和 release 这两个成员变量。

3:编写测试APP

测试 APP 要实现的内容很简单,设置 SIGIO 信号的处理函数为 sigio_signal_func,当驱动 程序向应用程序发送 SIGIO 信号以后 sigio_signal_func 函数就会执行。sigio_signal_func 函数内 容很简单,就是通过 read 函数读取按键值。新建名为 asyncnotiApp.c 的文件,然后输入如下所 示内容:

#include "stdio.h"
#include "unistd.h"
#include "sys/types.h"
#include "sys/stat.h"
#include "fcntl.h"
#include "stdlib.h"
#include "string.h"
#include "poll.h"
#include "sys/select.h"
#include "sys/time.h"
#include "linux/ioctl.h"
#include "signal.h"
/***************************************************************
Copyright © ALIENTEK Co., Ltd. 1998-2029. All rights reserved.
文件名		: asyncnotiApp.c
作者	  	: 左忠凯
版本	   	: V1.0
描述	   	: 异步通知测试APP
其他	   	: 无
使用方法	:./asyncnotiApp /dev/asyncnoti 打开测试App
论坛 	   	: www.openedv.com
日志	   	: 初版V1.0 2019/8/13 左忠凯创建
***************************************************************/static int fd = 0;	/* 文件描述符 *//** SIGIO信号处理函数* @param - signum 	: 信号值* @return 			: 无*/
static void sigio_signal_func(int signum)
{int err = 0;unsigned int keyvalue = 0;err = read(fd, &keyvalue, sizeof(keyvalue));if(err < 0) {/* 读取错误 */} else {printf("sigio signal! key value=%d\r\n", keyvalue);}
}/** @description		: main主程序* @param - argc 	: argv数组元素个数* @param - argv 	: 具体参数* @return 			: 0 成功;其他 失败*/
int main(int argc, char *argv[])
{int flags = 0;char *filename;if (argc != 2) {printf("Error Usage!\r\n");return -1;}filename = argv[1];fd = open(filename, O_RDWR);if (fd < 0) {printf("Can't open file %s\r\n", filename);return -1;}/* 设置信号SIGIO的处理函数 */signal(SIGIO, sigio_signal_func);fcntl(fd, F_SETOWN, getpid());		/* 设置当前进程接收SIGIO信号 	*/flags = fcntl(fd, F_GETFL);			/* 获取当前的进程状态 			*/fcntl(fd, F_SETFL, flags | FASYNC);	/* 设置进程启用异步通知功能 	*/	while(1) {sleep(2);}close(fd);return 0;
}

第 32~43 行,sigio_signal_func 函数,SIGIO 信号的处理函数,当驱动程序有效按键按下 以后就会发送 SIGIO 信号,此函数就会执行。此函数通过 read 函数读取按键值,然后通过 printf 函数打印在终端上。

第 69 行,通过 signal 函数设置 SIGIO 信号的处理函数为 sigio_signal_func。

第 71~73 行,设置当前进程的状态,开启异步通知的功能。

第 75~77 行,while 循环,等待信号产生。

整个过程就是按键按下进入中断处理 函数 ->开启10ms定时器->10ms后进入定时器回调函数->如果IO为0设置keyvalue的值为读取的值也就是0-->按键松手再次触发中断处理函数->开启10ms定时器->定时器回调函数,由于此时松手了,读取的IO口为高电平1,所以设置此刻的键值与上0x80表明键值有效,且让releasekey=1标志一次按键操作完成->在读取函数里就会给用户发送信号->用户读取到对应信号进行相应操作。

4:运行测试

4.1:Makefile文件编写

KERNELDIR :=/home/zhulinux/linux/alientek_linux/linuxCURRENT_PATH := $(shell pwd)
obj-m := asyncnoti.obuild: kernel_moduleskernel_modules:$(MAKE) -C $(KERNELDIR) M=$(CURRENT_PATH) modules
clean:$(MAKE) -C $(KERNELDIR) M=$(CURRENT_PATH) clean

4.1:编译测试APP

在名为Compiletest.sh的shell脚本内 ,将fun改变为 "asyncnoti"即可,运行shell脚本

#!/bin/bash
#把dts编译的dtb文件拷贝到 tftpboot目录下
cp -r ~/linux/alientek_linux/linux/arch/arm/boot/dts/imx6ull_alientek_emmc.dtb ~/linux/tftpboot/ -ffun="asyncnoti"funko="${fun}.ko"
funoApp="${fun}App"
funcApp="${fun}App.c"if [ -f "./$funoApp" ]; thenecho "文件存在,正在删除..."rm "./$funoApp"echo "文件已删除"
elseecho "文件不存在,不执行删除操作。"
fiarm-linux-gnueabihf-gcc $funcApp -o $funoApp
sudo cp $funko $funoApp ~/linux/nfs/rootfs/lib/modules/4.1.15/ -f

 

重启开发板,进入到目录 lib/modules/4.1.15 中,输入如下命令加载 asyncnoti.ko 驱动模块: 

第一次modprobe安装驱动都要depmod一下

depmod //第一次加载驱动的时候需要运行此命令
modprobe asyncnoti.ko //加载驱动

驱动加载成功以后如下命令来测试: 

./asyncnotiApp /dev/asyncnoti

如果要卸载驱动的话输入如下 命令即可:

rmmod asyncnoti.ko

在App文件里好像会找不到几个文件,被VScode标红了,不过不影响编译

本文仅在记录学习正点原子imx6ull-mini开发板的过程,不做他用。 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/389584.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

传统CS网络的新生——基于2G网络的远程灌溉实现

概述&#xff1a;iphone 实现远程电话触发&#xff0c;实现灌溉绿植的一般方法 方法一&#xff1a; 远程电话触发&#xff0c;音频线左右声道会产生一个信号&#xff0c;可以在后端利用SR锁存器暂存信号&#xff0c;后级可以接相应的控制电路实现灌溉。 方法二&#xff1a; 同…

【JavaScript】详解默认导出和命名导出的区别

文章目录 一、默认导出二、命名导出三、默认导出和命名导出的区别四、实际应用案例五、总结 在JavaScript模块化开发中&#xff0c;导入和导出模块是核心操作。ES6引入的模块化语法提供了两种主要的导出方式&#xff1a;默认导出&#xff08;default export&#xff09;和命名导…

【数学建模】——【A题 信用风险识别问题】全面解析

目录 1.题目 2.解答分析 问题1&#xff1a;指标筛选 1.1 问题背景 1.2 数据预处理 1.3 特征选择方法 1.4 多重共线性检测 1.5 实现步骤 问题2&#xff1a;信用评分模型 2.1 问题背景 2.2 数据分割 2.3 处理不平衡数据 2.4 模型选择与理由 问题3&#xff1a;模型对…

notes for datawhale summer camp chemistry task3

Transformer transformer的诞生 循环神经网络&#xff1a;由于所有的前文信息都蕴含在一个隐向量里面&#xff0c;这会导致随着序列长度的增加&#xff0c;编码在隐藏状态中的序列早期的上下文信息被逐渐遗忘。 卷积神经网络&#xff1a;受限的上下文窗口在建模长文本方面天…

Taming Lookup Tables for Efficient Image Retouching

Abstract 高清屏幕在终端用户相机、智能手机和电视等边缘设备中的广泛使用&#xff0c;刺激了对图像增强的巨大需求。现有的增强模型通常针对高性能进行优化&#xff0c;但不能减少硬件推断时间和功耗&#xff0c;尤其是在计算和存储资源受限的边缘设备上。为此&#xff0c;我…

信息学奥赛初赛天天练-53-CSP-J2019阅读程序2-模拟算法在数组中典型应用

PDF文档公众号回复关键字:20240802 2019 CSP-J 阅读程序2 1阅读程序(程序输入不超过数组或字符串定义的范围&#xff1b;判断题正确填 √&#xff0c;错误填 。除特殊说明外&#xff0c;判断题 1.5 分&#xff0c;选择题 3 分&#xff0c;共计 40 分) 假设输入的n和m都是正整…

前端Web-JavaScript(上)

要想让网页具备一定的交互效果&#xff0c;具有一定的动作行为&#xff0c;还得通过JavaScript来实现, 这门语言会让我们的页面能够和用户进行交互。 什么是JavaScript JavaScript&#xff08;简称&#xff1a;JS&#xff09; 是一门跨平台、面向对象的脚本语言&#xff0c;是…

【C++11】:右值引用移动语义完美转发

目录 前言一&#xff0c;左值引用和右值引用二&#xff0c;左值引用与右值引用比较三&#xff0c;探索引用的底层四&#xff0c;右值引用使用场景和意义4.1 解决返回值问题4.2 STL容器插入接口的改变 五&#xff0c;移动语义六&#xff0c;完美转发6.1 模板中的&& 万能…

产品经理如何快速掌握大模型技术,享受AI红利?

前言 随着人工智能&#xff08;AI&#xff09;技术的快速发展&#xff0c;AI产品经理的角色变得越来越重要。尽管AI产品经理并不是一个新鲜的概念&#xff0c;但随着AI技术的迭代升级&#xff0c;这一角色的重要性得到了显著提升。 AI产品经理的演变 早期的AI产品可能并不会…

网络原理的TCP/IP

TCP/IP协议 1)应用层 应用层和应用程序直接相关,与程序员息息相关的一层协议,应用层协议,里面描述的内容,就是写的程序,通过网络具体按照啥样的方式来进行传输,不同的应用程序,就可以用不同的应用层协议,在实际开发的过程中,需要程序员自制应用层协议 应用层协议本质上就是对…

python: 多进程实例

1. 实例一 主进程跟子进程的通过两个队列实现全双工通信&#xff1b;如有需要主进程会提示窗口输入信息传输给子进程&#xff1b;如果子进程收到主进程的消息&#xff0c;会弹窗提示收到的消息&#xff1b;子进程弹窗提示进程即将结束&#xff1b; 详细代码如下 # -*- coding…

独立站+TikTok达人:自主营销与创意内容的完美结合

在全球电商市场迅猛发展的今天&#xff0c;独立站和TikTok达人的结合正在创造一种全新的电商营销模式。独立站作为电商平台&#xff0c;其自主性和灵活性为商家提供了广阔的发展空间&#xff1b;而TikTok达人凭借其独特的内容创作能力和庞大的粉丝基础&#xff0c;成为推动销售…

OpenStack;异构算力网络架构;算力服务与交易技术;服务编排与调度技术

目录 OpenStack 一、OpenStack概述 二、OpenStack的主要组件及功能 三、OpenStack的架构 四、OpenStack的应用场景 异构算力网络架构 算力服务与交易技术 服务编排与调度技术 OpenStack 是一个开源的云计算管理平台项目,由NASA(美国国家航空航天局)和Rackspace合作…

「AI绘画Stable Diffusion 零基础入门 」AI 绘画SD原理与工具介绍,万字详解新手入门必看!

大家好&#xff0c;我是设计师阿威 AI 绘画原理 想要入门 AI 绘画&#xff0c;首先需要了解它的原理是什么样的。 其实很早就已经有人基于深度学习模型展开了对图像生成的研究了&#xff0c;但在那时&#xff0c;生成的图像分辨率和内容都非常抽象。 直到近两年&#xff0c…

C++必修:STL之vector的模拟实现

✨✨ 欢迎大家来到贝蒂大讲堂✨✨ &#x1f388;&#x1f388;养成好习惯&#xff0c;先赞后看哦~&#x1f388;&#x1f388; 所属专栏&#xff1a;C学习 贝蒂的主页&#xff1a;Betty’s blog 为了让我们更加深入理解vector&#xff0c;接下来我们将模拟实现一个简易版的vect…

二叉树链式结构的实现(递归的暴力美学!!)

前言 Hello,小伙伴们。你们的作者菌又回来了&#xff0c;前些时间我们刚学习完二叉树的顺序结构&#xff0c;今天我们就趁热打铁&#xff0c;继续我们二叉树链式结构的学习。我们上期有提到&#xff0c;二叉树的的底层结构可以选为数组和链表&#xff0c;顺序结构我们选用的数…

将YOLOv8模型从PyTorch的.pt格式转换为OpenVINO支持的IR格式

OpenVINO是Open Visual Inference & Neural Network Optimization工具包的缩写&#xff0c;是一个用于优化和部署AI推理模型的综合工具包。OpenVINO支持CPU、GPU和NPU设备。 OpenVINO的优势: (1).性能&#xff1a;OpenVINO利用英特尔CPU、集成和独立GPU以及FPGA的强大功能提…

PHP学习:PHP基础

以.php作为后缀结尾的文件&#xff0c;由服务器解析和运行的语言。 一、语法 PHP 脚本可以放在文档中的任何位置。 PHP 脚本以 <?php 开始&#xff0c;以 ?> 结束。 <!DOCTYPE html> <html> <body><h1>My first PHP page</h1><?php …