FPGA——分秒计数器设计(DE2-115开发板)

一、项目创建

1.创建工程

点击File->New Project Wizard...或者直接在页面处点击

 在第一行选择文件存放地点,第二行为项目名称,第三行为顶级设计实体名称

(下面的步骤可以暂时不做直接点Finish,因为是先写代码先把它跑出来暂时不用开发板) 

 一直Next直到下图,在框出的地方输入开发板芯片型号并选择

 这里选择 ModelSim-Altera 进行仿真(不需要仿真可以不选)

 然后继续点Next,直到完成

 2.创建文件

 选择File,点击New创建文件

选择框出的选项

然后关联了VSCode后会自动跳转到VSCode

二、功能实现

1.在DE2-115开发板上用Verilog编程实现一个分秒计数器,并具备按键暂停、按键消抖功能 

代码: 
module top(input CLOCK_50,     // 50MHz时钟(PIN_Y2)input KEY0,         // 复位按钮(PIN_M23)input KEY1,         // 暂停按钮(PIN_M21)output [6:0] HEX0,  // 秒个位(PIN_G18等)output [6:0] HEX1,  // 秒十位(PIN_M24等)output [6:0] HEX2,  // 分个位(PIN_K22等)output [6:0] HEX3   // 分十位(PIN_K21等)
);// 内部信号声明
wire reset = ~KEY0;     // 低电平有效复位
wire clk_1hz;           // 1Hz时钟
wire carry_sec;         
wire key_stable;        // 消抖后的按键信号
reg pause_state = 0;    // 暂停状态寄存器
reg key_stable_d;       // 用于边沿检测的延迟寄存器wire [3:0] sec_ones;    // 秒个位
wire [3:0] sec_tens;    // 秒十位
wire [3:0] min_ones;    // 分个位
wire [3:0] min_tens;    // 分十位// 消抖后的暂停信号
debounce pause_debouncer(.clk(CLOCK_50),.button(~KEY1),     // KEY1低电平有效.out(key_stable)
);// 边沿检测逻辑(上升沿)
always @(posedge CLOCK_50) beginkey_stable_d <= key_stable;
end
wire key_rise = key_stable & ~key_stable_d;// 状态翻转逻辑(每次按键翻转pause_state)
always @(posedge CLOCK_50 or posedge reset) beginif (reset) beginpause_state <= 0;end else if (key_rise) beginpause_state <= ~pause_state; // 按键按下时翻转状态end
end// 时钟分频模块实例化
clk_divider clk_div(.clk(CLOCK_50),.reset(reset),.pause(pause_state),      // 暂停控制.clk_1hz(clk_1hz)
);// 秒计数器模块实例化(添加enable端口)
second_counter sec_cnt(.clk(clk_1hz),.reset(reset),.enable(~pause),    // 暂停时停止计数.sec_ones(sec_ones),.sec_tens(sec_tens),.carry_sec(carry_sec)
);// 分钟计数器模块实例化
minute_counter min_cnt(.clk(clk_1hz),.reset(reset),.inc(carry_sec),.min_ones(min_ones),.min_tens(min_tens)
);// 七段译码器实例化
seg7_decoder seg_sec0(.bcd(sec_ones), .seg(HEX0));  // 秒个位
seg7_decoder seg_sec1(.bcd(sec_tens), .seg(HEX1));  // 秒十位
seg7_decoder seg_min0(.bcd(min_ones), .seg(HEX2));  // 分个位
seg7_decoder seg_min1(.bcd(min_tens), .seg(HEX3));  // 分十位endmodule// 时钟分频模块(带暂停控制)
module clk_divider(input clk,input reset,input pause, output reg clk_1hz
);
reg [25:0] counter;always @(posedge clk or posedge reset) beginif (reset) begincounter <= 0;clk_1hz <= 0;endelse if (!pause) begin  // 暂停时停止分频if (counter == 26'd24_999_999) begincounter <= 0;clk_1hz <= ~clk_1hz;endelse begincounter <= counter + 1;endend
end
endmodule// 改进的按键消抖模块(20ms消抖)
module debounce(input clk,input button,output reg out
);
reg [19:0] counter;
reg [2:0] button_sync;  // 三级同步器always @(posedge clk) begin// 同步器链button_sync <= {button_sync[1:0], button};// 消抖逻辑if (button_sync[2] != out) begincounter <= counter + 1;if (&counter) begin  // 计满20ms(50MHz时钟)out <= button_sync[2];counter <= 0;endendelse begincounter <= 0;end
end
endmodule// 带使能端的秒计数器
module second_counter(input clk,input reset,input enable,       // 使能信号output reg [3:0] sec_ones,output reg [3:0] sec_tens,output carry_sec    // 改为组合逻辑输出
);// 组合逻辑判断是否到达59秒
assign carry_sec = (sec_ones == 9) && (sec_tens == 5);always @(posedge clk or posedge reset) beginif (reset) beginsec_ones <= 0;sec_tens <= 0;endelse if (enable) beginif (sec_ones == 9) beginsec_ones <= 0;if (sec_tens == 5)sec_tens <= 0;elsesec_tens <= sec_tens + 1;endelse beginsec_ones <= sec_ones + 1;endend
end
endmodule// 分钟计数器(保持不变)
module minute_counter(input clk,input reset,input inc,output reg [3:0] min_ones,output reg [3:0] min_tens
);
always @(posedge clk or posedge reset) beginif (reset) beginmin_ones <= 0;min_tens <= 0;endelse if (inc) beginif (min_ones == 9) beginmin_ones <= 0;if (min_tens == 5)min_tens <= 0;elsemin_tens <= min_tens + 1;endelse beginmin_ones <= min_ones + 1;endend
end
endmodule// 七段译码器(保持不变)
module seg7_decoder(input [3:0] bcd,output reg [6:0] seg
);
always @(*) begincase (bcd)4'd0 : seg = 7'b1000000; // 04'd1 : seg = 7'b1111001; // 14'd2 : seg = 7'b0100100; // 24'd3 : seg = 7'b0110000; // 34'd4 : seg = 7'b0011001; // 44'd5 : seg = 7'b0010010; // 54'd6 : seg = 7'b0000010; // 64'd7 : seg = 7'b1111000; // 74'd8 : seg = 7'b0000000; // 84'd9 : seg = 7'b0010000; // 9default : seg = 7'b1111111; // 灭endcase
end
endmodule

代码写好后一定要保存(每次更改也要保存不然运行的不是你更改后的代码),文件名默认为Verilog1,点击另存为,文件名为top(后缀.v,文件名和你的顶层实体名一样)

然后回到Quartus点击编译(此处单纯进行代码编译,检查代码是否错误,整体运行时间较久)点击1或者2处均可,编译成功无报错后点击3处或者在菜单栏点击Assignments->Pin Planner配置引脚

引脚配置如下图所示,配置好后退出就行

 然后对整个程序进行运行,点击框出的按钮或者点击Processing->Start Compilation

运行成功无报错后进行烧录(板子提前插好电源线和烧录程序的线),点击下图框选的两处均可进行烧录

第一次烧录可先点击Hardware Setup...,然后在Currently...处选择接入的USB线(第一次做的或许会找不到,可能是因为没有安装驱动,打开设备管理器,可以看到Altera USB-Blaster,图标下方有个黄色三角形警告,点击它选择下载驱动就行)

注意1,2处的选择,默认一般是这样,然后就可以点击Start,进度条为100%就成功了

最终效果:

计时器

2.状态机思想实现的分秒计数器

代码:

module top(input CLOCK_50,     // 50MHz时钟(PIN_Y2)input KEY0,         // 复位按钮(PIN_M23)input KEY1,         // 暂停按钮(PIN_M21)output [6:0] HEX0,  // 秒个位(PIN_G18等)output [6:0] HEX1,  // 秒十位(PIN_M24等)output [6:0] HEX2,  // 分个位(PIN_K22等)output [6:0] HEX3   // 分十位(PIN_K21等)
);// 状态定义
localparam RUN    = 1'b0;
localparam PAUSE  = 1'b1;// 内部信号声明
wire reset = ~KEY0;     // 低电平有效复位
wire clk_1hz;           // 1Hz时钟
wire carry_sec;         
wire key_stable;        // 消抖后的按键信号
reg key_stable_d;       // 用于边沿检测的延迟寄存器// 计数器输出信号定义
wire [3:0] sec_ones; 
wire [3:0] sec_tens;
wire [3:0] min_ones;
wire [3:0] min_tens;// 状态寄存器
reg current_state;
reg next_state;// 消抖后的暂停信号
debounce pause_debouncer(.clk(CLOCK_50),.button(~KEY1),     // KEY1低电平有效.out(key_stable)
);// 边沿检测逻辑(上升沿)
always @(posedge CLOCK_50) beginkey_stable_d <= key_stable;
end
wire key_rise = key_stable & ~key_stable_d;// 状态转移逻辑
always @(*) begincase (current_state)RUN:   next_state = key_rise ? PAUSE : RUN;PAUSE: next_state = key_rise ? RUN   : PAUSE;default: next_state = RUN;endcase
end// 状态翻转逻辑(每次按键翻转pause_state)
always @(posedge CLOCK_50 or posedge reset) beginif (reset) current_state <= RUN;else current_state <= next_state;
end
// 输出控制信号
wire pause = (current_state == PAUSE);// 时钟分频模块实例化
clk_divider clk_div(.clk(CLOCK_50),.reset(reset),.pause(pause),.clk_1hz(clk_1hz)
);// 秒计数器模块实例化
second_counter sec_cnt(.clk(clk_1hz),.reset(reset),.enable(~pause),    // 暂停时停止计数.sec_ones(sec_ones),.sec_tens(sec_tens),.carry_sec(carry_sec)
);// 分钟计数器模块实例化
minute_counter min_cnt(.clk(clk_1hz),.reset(reset),.inc(carry_sec),.min_ones(min_ones),.min_tens(min_tens)
);// 七段译码器实例化
seg7_decoder seg_sec0(.bcd(sec_ones), .seg(HEX0));  // 秒个位
seg7_decoder seg_sec1(.bcd(sec_tens), .seg(HEX1));  // 秒十位
seg7_decoder seg_min0(.bcd(min_ones), .seg(HEX2));  // 分个位
seg7_decoder seg_min1(.bcd(min_tens), .seg(HEX3));  // 分十位endmodule// 时钟分频模块(带暂停控制)
module clk_divider(input clk,input reset,input pause, output reg clk_1hz
);
reg [25:0] counter;always @(posedge clk or posedge reset) beginif (reset) begincounter <= 0;clk_1hz <= 0;endelse if (!pause) begin  // 暂停时停止分频if (counter == 26'd24_999_999) begincounter <= 0;clk_1hz <= ~clk_1hz;endelse begincounter <= counter + 1;endend
end
endmodule// 按键消抖模块(20ms消抖)
module debounce(input clk,input button,output reg out
);
reg [19:0] counter;
reg [2:0] button_sync;  // 三级同步器always @(posedge clk) begin// 同步器链button_sync <= {button_sync[1:0], button};// 消抖逻辑if (button_sync[2] != out) begincounter <= counter + 1;if (&counter) begin  // 计满20ms(50MHz时钟)out <= button_sync[2];counter <= 0;endendelse begincounter <= 0;end
end
endmodule// 带使能端的秒计数器
module second_counter(input clk,input reset,input enable,       // 使能信号output reg [3:0] sec_ones,output reg [3:0] sec_tens,output carry_sec    // 改为组合逻辑输出
);// 组合逻辑判断是否到达59秒
assign carry_sec = (sec_ones == 9) && (sec_tens == 5);always @(posedge clk or posedge reset) beginif (reset) beginsec_ones <= 0;sec_tens <= 0;endelse if (enable) beginif (sec_ones == 9) beginsec_ones <= 0;if (sec_tens == 5)sec_tens <= 0;elsesec_tens <= sec_tens + 1;endelse beginsec_ones <= sec_ones + 1;endend
end
endmodule// 分钟计数器
module minute_counter(input clk,input reset,input inc,output reg [3:0] min_ones,output reg [3:0] min_tens
);
always @(posedge clk or posedge reset) beginif (reset) beginmin_ones <= 0;min_tens <= 0;endelse if (inc) beginif (min_ones == 9) beginmin_ones <= 0;if (min_tens == 5)min_tens <= 0;elsemin_tens <= min_tens + 1;endelse beginmin_ones <= min_ones + 1;endend
end
endmodule// 七段译码器
module seg7_decoder(input [3:0] bcd,output reg [6:0] seg
);
always @(*) begincase (bcd)4'd0 : seg = 7'b1000000; // 04'd1 : seg = 7'b1111001; // 14'd2 : seg = 7'b0100100; // 24'd3 : seg = 7'b0110000; // 34'd4 : seg = 7'b0011001; // 44'd5 : seg = 7'b0010010; // 54'd6 : seg = 7'b0000010; // 64'd7 : seg = 7'b1111000; // 74'd8 : seg = 7'b0000000; // 84'd9 : seg = 7'b0010000; // 9default : seg = 7'b1111111; // 灭endcase
end
endmodule

其他步骤都是一样的,在开发板上的效果也同上。

三、总结

本次实验在DE2-115开发板上实现了一个分秒计数器,且有按键暂停、按键消抖的功能,还用状态机思想实现了一次,代码是用DeepSeek辅助写的,自己暂时还写不出来,先把功能实现后再逐步分析正确的代码更有助于我的学习,文章有什么问题与不足还请多多指正。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/42511.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

香蕉成熟度检测和识别1:香蕉成熟度数据集说明(含下载链接)

一. 前言 本篇博客是《香蕉成熟度检测和识别》系列文章之《香蕉成熟度数据集说明(含下载链接)》&#xff0c;网上有很多香蕉成熟度数据集的数据&#xff0c;百度一下&#xff0c;一搜一大堆&#xff0c;但质量参差不齐&#xff0c;很多不能用&#xff0c;即使一个一个的看也会…

⑦(ACG-网络配置)

网络配置是指对计算机网络的各种参数进行设置和调整&#xff0c;以实现网络正常运行和高效通信。网络配置包括多方面的内容&#xff0c;常见的配置包括&#xff1a; 1. IP地址设置&#xff1a;IP地址是设备在网络中的身份标识&#xff0c;设置IP地址是网络配置的基础&#xff…

DeepSeek反作弊技术方案全解析:AI如何重构数字信任体系

一、技术原理:构建智能防御矩阵 1.1 多维度行为分析引擎 DeepSeek 反作弊技术的基石是多维度行为分析引擎,其借助深度学习算法,对用户行为轨迹展开毫秒级的细致剖析。这一引擎能够构建起涵盖操作频率、设备指纹、网络环境等多达 128 个特征维度的精准行为画像。以教育场景为…

盈亏平衡分析

盈亏平衡分析是一种重要的管理分析方法&#xff0c;广泛应用于企业的成本控制、生产决策、定价策略等方面&#xff0c;以下是对它的详细阐述&#xff1a; 一、基本概念 定义&#xff1a;盈亏平衡分析是通过研究企业在一定时期内的成本、收入与利润之间的关系&#xff0c;确定…

Vue2 脚手架 创建工程 测试程序

Vue2 脚手架 创建工程 测试程序 创建一个 目录 H:\g_web_vue\test 打开 vscode H:\g_web_vue\test 新建文件夹 vue2-demo cd .\vue2-demo vue create demo1 键盘 向下箭头 按键&#xff0c;选中 Vue2&#xff0c; 然后 回车 cd demo1 npm run serve http://localhost:808…

Yolo_v8的安装测试

前言 如何安装Python版本的Yolo&#xff0c;有一段时间不用了&#xff0c;Yolo的版本也在不断地发展&#xff0c;所以重新安装了运行了一下&#xff0c;记录了下来&#xff0c;供参考。 一、搭建环境 1.1、创建Pycharm工程 首先创建好一个空白的工程&#xff0c;如下图&…

IP协议的介绍

网络层的主要功能是在复杂的网络环境中确定一个合适的路径.网络层的协议主要是IP协议.IP协议头格式如下: 1.4位版本号:指定IP协议的版本,常用的是IPV4,对于IPV4来说,这里的值就是4. 2.4位头部长度,单位也是4个字节,4bit表示的最大数字是15,因此IP头部的最大长度就是60字节 3.…

Linux环境上传本地文件安装mysql

windows下载本地文件包&#xff0c;找到文件所在目录 scp 文件名 root192.168.xx.xx:/opt输入ssh密码&#xff0c;成功上传到服务器&#xff01; //docker拉取镜像 cd /opt && docker load -i 文件名docker run -it -d --restartalways --namemysql5 -p 3106:3306 -v …

Java操作RabbitMQ

文章目录 Spring集成RabbitMQ1. AMQP&SpringAMQP2. SpringBoot集成RabbitMQ3. 模型work模型 4.交换机Fanout交换机Direct交换机Topic交换机 5.声明式队列和交换机基于API声明基于注解声明 6.消息转换器 Spring集成RabbitMQ 1. AMQP&SpringAMQP AMQP&#xff08;高级消…

MySQL的多表查询

我们之前在讲解SQL语句的时候&#xff0c;讲解了DQL语句&#xff0c;也就是数据查询语句&#xff0c;但是之前讲解的查询都是单表查询&#xff0c;而本章节我们要学习的则是多表查询操作&#xff0c;主要从以下几个方面进行讲解。 5.1 多表关系 项目开发中&#xff0c;在进行…

微软Copilot与向量数据库:智能化办公的技术架构与实现路径

作为大禹智库的向量数据库高级研究员王帅旭,我在向量数据库和AI应用领域深耕30余年,亲历了向量数据库从学术概念到产业核心基础设施的演进历程。今天,我将从专业视角剖析微软Copilot背后的向量数据库技术支撑,并分享如何利用Mlivus Cloud等现代向量数据库构建类似的智能办公…

AI-人工智能-实现将静态图片和视频合成为类似iPhone的Live Photo(动态照片)效果

实现将静态图片和视频合成为类似iPhone的Live Photo&#xff08;动态照片&#xff09;效果 可以使用Python结合OpenCV和图像处理库来完成 技术说明 Live Photo原理&#xff1a;iPhone的Live Photo实际上是3秒的MOV视频一张高分辨率JPEG格式选择&#xff1a; .mov是最兼容的格…

数据结构之排序

目录 排序的概念及引用 排序的概念 常见的排序算法 常见排序算法的实现 插入排序 1.直接插入排序&#xff1a; 2.希尔排序( 缩小增量排序 ) 选择排序 直接选择排序 堆排序 交换排序 冒泡排序 快速排序 1&#xff09;Hoare版 2&#xff09;挖坑法 3&#xff09;…

从“泛读”到“精读”:合合信息文档解析如何让大模型更懂复杂文档?

从“泛读”到“精读”&#xff1a;合合信息文档解析如何让大模型更懂复杂文档&#xff1f; 一、引言&#xff1a;破解文档“理解力”瓶颈二、核心功能&#xff1a;合合信息的“破局”亮点功能亮点1&#xff1a;复杂图表的高精度解析图表解析&#xff1a;为大模型装上精准“标尺…

NoSQL 数据库的适用场景与局限性分析

NoSQL(Not Only SQL)数据库是一类非关系型数据库,通过灵活的数据模型和分布式架构解决传统关系型数据库在扩展性、性能和数据多样性上的瓶颈。以下从技术特性、适用场景、不适用场景及行业实践展开分析: 一、NoSQL数据库的核心技术特性 四大数据模型 文档型:以JSON/BSON格…

Pycharm(七):几个简单案例

一.剪刀石头布 需求&#xff1a;和电脑玩剪刀石头布游戏 考察点&#xff1a;1.随机数&#xff1b;2.判断语句 import random # numrandom.randint(1,3) # print(num) # print(**30) #1.录入玩家手势 playerint(input(请输入手势&#xff1a;&#xff08;1.剪刀 2.石头 3&…

Reactive编程:什么是Reactive编程?Reactive编程思想

文章目录 **1. Reactive编程概述****1.1 什么是Reactive编程&#xff1f;****1.1.1 Reactive编程的定义****1.1.2 Reactive编程的历史****1.1.3 Reactive编程的应用场景****1.1.4 Reactive编程的优势** **1.2 Reactive编程的核心思想****1.2.1 响应式&#xff08;Reactive&…

【数学建模】动态规划算法(Dynamic Programming,简称DP)详解与应用

动态规划算法详解与应用 文章目录 动态规划算法详解与应用引言动态规划的基本概念动态规划的设计步骤经典动态规划问题1. 斐波那契数列2. 背包问题3. 最长公共子序列(LCS) 动态规划的优化技巧动态规划的应用领域总结 引言 动态规划(Dynamic Programming&#xff0c;简称DP)是一…

Linux基础之软硬链接

参考链接&#xff1a;https://baijiahao.baidu.com/s?id1770724291436944734&wfrspider&forpc 一、定义 1.硬链接&#xff08;Hard Link&#xff09; 硬链接是指多个文件名指向同一个物理文件的链接关系。它们在文件系统中具有相同的inode号&#xff08;索引节点号…

python每日十题(13)

一般把计算机完成一条指令所花费的时间称为一个指令周期。指令周期越短&#xff0c;指令执行就越快。本题答案为D选项。 顺序程序具有顺序性、封闭性和可再现性的特点&#xff0c;使得程序设计者能够控制程序执行的过程(包括执行顺序、执行时间&#xff09;&#xff0c;对程序执…