uvm sequence

UVM Sequence 是验证环境中生成和控制事务(Transaction)流的核心机制,它通过动态生成、随机化和调度事务,实现灵活多样的测试场景。以下是Sequence的详细解析:


Sequence 的核心作用

  1. 事务流生成:通过 uvm_sequence 类定义事务的生成逻辑(如顺序、随机化、约束)。
  2. 场景控制:支持层次化、并发、优先级调度等复杂场景。
  3. 复用性:可跨测试用例复用,提升验证效率。
  4. 动态配置:通过Factory机制替换Sequence,快速切换测试模式。

Sequence 的基本结构

Sequence继承自 uvm_sequence 类,需定义 body() 任务实现事务流逻辑。

1. 定义与注册
class basic_sequence extends uvm_sequence;`uvm_object_utils(basic_sequence)  // 注册到Factory// 构造函数(可选)function new(string name = "basic_sequence");super.new(name);endfunction// 核心方法:定义事务生成逻辑virtual task body();// 生成并发送事务endtask
endclass
2. 生成事务的典型流程
task body();my_transaction tr;  // 定义事务对象repeat(10) begin// 创建事务对象tr = my_transaction::type_id::create("tr");// 启动事务发送流程start_item(tr);    // 请求Sequencer的仲裁权限assert(tr.randomize());  // 随机化事务finish_item(tr);   // 发送事务到Driverend
endtask

Sequence 的关键机制

1. 与 Sequencer 和 Driver 的交互
  • Sequencer:仲裁Sequence的优先级,管理事务发送顺序。
  • Driver:通过 get_next_item() 获取事务,驱动到DUT。
  • 数据流
    Sequence -> Sequencer -> Driver -> DUT
    
2. 事务发送方法
  • uvm_do:简化事务创建、随机化和发送流程。

    task body();repeat(5) begin`uvm_do(tr)  // 等价于:create -> start_item -> randomize -> finish_itemend
    endtask
    
  • uvm_do_with:添加内联约束。

    `uvm_do_with(tr, { tr.addr inside {[0x100:0x200]}; })
    
3. 层次化 Sequence
  • 嵌套调用:一个Sequence可以启动其他Sequence。
    task body();// 启动另一个Sequence(如配置寄存器的Sequence)config_sequence seq = config_sequence::type_id::create("seq");seq.start(m_sequencer);  // 绑定到当前Sequencer
    endtask
    
4. 虚拟 Sequence(Virtual Sequence)
  • 作用:协调多个Agent的Sequencer,实现跨接口的同步场景。
  • 实现
    1. 定义虚拟Sequence类。
    2. 在Test中启动虚拟Sequence,并传递多个Sequencer句柄。
    class virtual_sequence extends uvm_sequence;uvm_sequencer eth_seqr;  // 以太网接口Sequenceruvm_sequencer pcie_seqr; // PCIe接口Sequencertask body();forketh_sequence.start(eth_seqr);pcie_sequence.start(pcie_seqr);joinendtask
    endclass
    

Sequence 的高级特性

1. 随机化控制
  • 动态约束:通过 constraint_mode() 动态启用/禁用约束。

    tr = my_transaction::type_id::create("tr");
    tr.valid_addr.constraint_mode(0);  // 关闭地址约束
    assert(tr.randomize());
    
  • 权重分布:使用 dist 控制字段的随机概率。

    constraint wr_ratio {wr_en dist {1 := 70, 0 := 30};  // 70%写操作,30%读操作
    }
    
2. 同步与等待
  • 事件同步:使用 uvm_event 实现跨Sequence同步。

    uvm_event sync_event = new();// Sequence A
    task body();// ... 执行操作sync_event.trigger();  // 触发事件
    endtask// Sequence B
    task body();sync_event.wait_trigger();  // 等待事件
    endtask
    
  • 延迟控制:插入固定或随机延迟。

    repeat(3) begin`uvm_do(tr)#10ns;  // 插入延迟
    end
    
3. 优先级与仲裁
  • 优先级设置:通过 start() 方法的参数指定优先级。

    high_priority_seq.start(m_sequencer, this, 500);  // 优先级=500(默认=100)
    
  • Sequencer仲裁:Sequencer根据优先级调度多个Sequence。

4. Factory 重载
  • 动态替换Sequence:在Test中覆盖默认Sequence类型。
    class debug_sequence extends basic_sequence;`uvm_object_utils(debug_sequence)// 添加调试逻辑
    endclass// 在Test中替换
    initial begindebug_sequence::type_id::set_type_override(basic_sequence::get_type());
    end
    

Sequence 的生命周期方法

  • pre_body():在 body() 前执行,用于初始化或配置。
  • post_body():在 body() 后执行,用于清理或结束操作。
  • pre_do() / mid_do() / post_do():细粒度控制事务发送过程。
task pre_body();`uvm_info("SEQ", "Sequence started", UVM_LOW)
endtasktask post_body();`uvm_info("SEQ", "Sequence completed", UVM_LOW)
endtask

典型应用场景

1. 基础激励生成
class write_sequence extends uvm_sequence;task body();my_transaction tr;repeat(20) begin`uvm_do_with(tr, { tr.wr_en == 1; tr.addr inside {[0x100:0x1FF]}; })endendtask
endclass
2. 协议场景测试
class burst_sequence extends uvm_sequence;task body();// 发送配置命令config_sequence cfg_seq;cfg_seq = config_sequence::type_id::create("cfg_seq");cfg_seq.start(m_sequencer);// 发送突发传输事务`uvm_do_with(tr, { tr.burst_length == 8; })endtask
endclass
3. 错误注入测试
class error_sequence extends uvm_sequence;task body();my_transaction tr;`uvm_do_with(tr, { tr.error == 1; })  // 强制生成错误事务endtask
endclass

调试与最佳实践

  1. 日志输出:使用 uvm_info 跟踪Sequence执行状态。

    `uvm_info("SEQ", $sformatf("Sent transaction: addr=0x%h", tr.addr), UVM_MEDIUM)
    
  2. 约束调试:使用 rand_mode()constraint_mode() 定位随机化失败问题。

  3. 避免全局变量:通过 uvm_config_db 传递配置参数,而非全局变量。

  4. 覆盖率导向:结合功能覆盖率分析,优化Sequence的约束。


总结

UVM Sequence 是验证环境中动态生成和控制事务流的核心组件,通过灵活的随机化、层次化设计和同步机制,能够高效构建复杂测试场景。合理使用虚拟Sequence、Factory重载和调试工具,可显著提升验证效率和场景覆盖率。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/42656.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

OpenCV 图形API(或称G-API)

操作系统:ubuntu22.04 OpenCV版本:OpenCV4.9 IDE:Visual Studio Code 编程语言:C11 引言 OpenCV 图形API(或称G-API)是一个新的OpenCV模块,旨在使常规图像处理更快且更便携。通过引入一种新的基于图的执行…

注意!ChatGPT 全新 AI 图像功能延迟对免费用户开放

2025 年 3 月 25 日,OpenAI 正式宣布在 ChatGPT 中推出基于 GPT-4o 模型的全新原生图像生成功能。 这一功能允许用户通过对话生成和编辑图像,支持从写实风格到插图风格的多种形式。OpenAI 首席执行官萨姆・奥特曼(Sam Altman)在社…

方阵幂次(矩阵快速幂)

#include <bits/stdc.h> using namespace std;int n; vector<vector<int>> fuc(vector<vector<int>> a,vector<vector<int>> b){ // 矩阵乘法函数vector<vector<int>> f(n,vector<int>(n));for(int i0;i<n;i){…

MySQL-- 多表查询的分类,SQL92与SQL99,7种JOIN的实现,SQL99语法的新特性

目录 一&#xff0c;多表查询的分类 角度1&#xff1a;等值连接 vs 非等值连接 角度2&#xff1a;自连接 vs 非自连接 角度3&#xff1a;内连接 vs 外连接 二&#xff0c;SQL92语法实现内连接&#xff1a;见上&#xff0c;略SQL92语法实现外连接&#xff1a;使用 -…

IEEE PDF Xpress校验出现 :字体无法嵌入问题以及pdf版本问题

文章目录 问题描述一、字体嵌入问题首先查看一下&#xff0c;哪些字体没有被嵌入查看window的font文件夹里的字体下载字体的网站修复字体嵌入问题 二、pdf版本不对 问题描述 在处理IEEE的camera ready的时候&#xff0c;提交到IEEE express的文件没有办法通过validate&#xf…

产生式系统的完整示例——医疗诊断系统(附python代码)

产生式系统在现实社会中依然有很重要的应用价值&#xff0c;为了更好的理解它的构建、推理以及完整的实现过程&#xff0c;本文一医疗诊断系统为例&#xff0c;进行详细说明。以下是一个包含10条规则的医疗诊断产生式系统示例&#xff0c;包含完整的规则定义、推理流程图、Pyth…

【CSS3】02-选择器 + CSS特性 + 背景属性 + 显示模式

本文主要介绍&#xff1a;选择器、CSS属性、背景属性和显示模式的操作写法。 目录 1. 复合选择器 1.1 后代选择器 1.2 子代选择器 1.3 并集选择器 1.4 交集选择器 2. 伪类选择器 2.1 超链接&#xff08;拓展&#xff09; 3. CSS特性 3.1 继承性 3.2 层叠性 3.3 优先…

清华大学第10讲:迈向未来的AI教学实验396页PPT 探索未来教育的无限可能|附PPT下载方法

导 读INTRODUCTION 今天跟大家分享的是清华大学新闻与传播学院、人工智能学院双聘教授沈阳教授团队出品的《迈向未来的AI教学实验》课程作业集&#xff0c;随着人工智能技术的飞速发展&#xff0c;教育领域也迎来了前所未有的变革。该报告为沈阳教授与学生们在“迈向未来的AI教…

亮数据爬取API爬取亚马逊电商平台实战教程

前言 在当今数据驱动的商业环境中&#xff0c;企业需要快速、精准地获取互联网上的公开数据以支持市场分析、竞品调研和用户行为研究。然而&#xff0c;传统的手动网页爬取方式面临着诸多挑战&#xff1a;IP封锁、验证码干扰、网站结构频繁变更&#xff0c;以及高昂的运维成本…

【新手初学】读取服务器敏感文件数据

一、引言 想要让利用注入点让SQL语句能执行读取相关文件的操作&#xff0c;需要一个非常重要的条件&#xff0c;即在MySql数据库的配置文件my.ini中一定要有 secure file priv" " 二、读取文件配置 ①在虚拟机中查看my.ini文件中有没有secure file priv" &qu…

央视少儿APP V2.6.2

安装好软件就能直接看&#xff0c;界面干净&#xff0c;播放流畅得很。里面的内容都是经过严格筛选的&#xff0c;动画、纪录片、教育课程这些资源应有尽有 这款软件的画质高清到让人惊艳&#xff0c;就算不登录也丝毫不影响观看体验&#xff0c;播放的时候几乎不用缓冲&#…

mysqlworkbench导入.sql文件

1、MySQL Workbench 新建数据库 或者 在左侧导航栏的 ​Schemas 区域右键选择 ​Create Schema...输入数据库名称&#xff08;例如 mydatabase&#xff09;&#xff0c;点击 ​Apply确认创建&#xff0c;点击 ​Finish 2、选择目标数据库 在左侧导航栏的 ​Schemas 列表中&a…

比较4点结构和4次函数

在行列可自由变换的平面上3点结构只有6个 设与之对应的函数分别是 3a1 x*x*y y*y*x 3a2 xy*y*y 3a3 x*x*y y*y*y 3a4 x*x*x y*y*x 3a5 x*x*xy*y*y 3a6 x*x*xy 用同样的办法计算4点结构的16个函数 4(4a1-1)2*3a32*3a1 4(4a2-1)3a43a33a53a1 4(4a3-1)3a23a3…

线性回归 + 基础优化算法

线性回归 线性回归是机器学习最基础的模型&#xff0c;也是理解后续所有深度学习的基础。 线性模型可以看做是单层神经网络。 上述有个0.5是在求导的时候可以很方便的将2消去。 实际上&#xff0c;这里的数据样本受限很大&#xff0c;比如地球上房子就那么多&#xff0c;肯…

邪性!Anaconda安装避坑细节Windows11

#工作记录 最近不断重置系统和重装Anaconda&#xff0c;配置的要累死&#xff0c;经几十次意料之外的配置状况打击之后&#xff0c;最后发现是要在在Anaconda安装时&#xff0c;一定要选“仅为我安装”这个选项&#xff0c;而不要选“为所有用户安装”这个选项。 选“仅为我安…

llamafactory微调效果与vllm部署效果不一致如何解决

在llamafactory框架训练好模型之后&#xff0c;自测chat时模型效果不错&#xff0c;但是部署到vllm模型上效果却很差 这实际上是因为llamafactory微调时与vllm部署时的对话模板不一致导致的。 对应的llamafactory的代码为 而vllm启动时会采用大模型自己本身设置的对话模板信息…

修改菜品-02.代码开发

一.Controller层 package com.sky.controller.admin;import com.sky.dto.DishDTO; import com.sky.dto.DishPageQueryDTO; import com.sky.entity.Dish; import com.sky.result.PageResult; import com.sky.result.Result; import com.sky.service.DishService; import com.sk…

探秘Transformer系列之(19)----FlashAttention V2 及升级版本

探秘Transformer系列之&#xff08;19&#xff09;----FlashAttention V2 及升级版本 文章目录 探秘Transformer系列之&#xff08;19&#xff09;----FlashAttention V2 及升级版本0x00 概述0x01 FlashAttention V21.1 动机1.2 方案1.2.1 减少冗余计算1.2.2 增加并行1.2.3 调整…

解决HuggingFaceEmbeddings模型加载报错:缺少sentence-transformers依赖包

遇到报错 报错信息: Error loading model: Could not import sentence_transformers python package. Please install it with pip install sentence-transformers. 装包信息&#xff1a; pip install modelscope langchain sentence_transformers langchain-huggingface on…

外星人入侵(python设计小游戏)

这个游戏简而言之就是操作一个飞机对前方的飞船进行射击&#xff0c;和一款很久之前的游戏很像&#xff0c;这里是超级低配版那个游戏&#xff0c;先来看看效果图&#xff1a; 由于设计的是全屏的&#xff0c;所以电脑不能截图。。。。 下面的就是你操控的飞船&#xff0c;上面…