STM32--GPIO

文章目录

  • GPIO简介
  • GPIO的基本结构
  • GPIO位结构
  • GPIO模式
  • LED和蜂鸣器
  • LED闪烁工程及程序原码
    • 代码:
  • 蜂鸣器工程和程序原码
    • 代码
  • 传感器
  • 光敏传感器控制蜂鸣器工程
    • 代码

GPIO简介

GPIO(General Purpose Input Output)是通用输入/输出口的简称。它是一种可以通过软件控制的端口扩展器,常见于各种嵌入式系统和单片机中。GPIO具有以下特点和优势:

  1. 低功耗:GPIO使用的电流较小,能够在嵌入式系统中实现低功耗的控制和监视功能。
  2. 小封装:GPIO芯片通常采用小封装的设计,占据较小的空间,适用于空间有限的应用场景。
  3. 低成本:GPIO芯片的制造成本相对较低,可以降低整体系统的成本。
  4. 布线简单:GPIO的接口设计一般比较简单,连接和布线也相对容易,方便用户进行外部设备的控制和数据采集。

通过使用GPIO,我们可以将外部设备与嵌入式系统或单片机连接起来,实现与外部设备的通信、控制和数据采集功能。例如,通过配置GPIO的输入输出状态,可以实现控制LED灯的亮灭、读取按键的状态、控制电机的转动等。GPIO的灵活性使得它在各个领域中得到广泛的应用,如物联网、工业自动化、智能家居等。

GPIO的基本结构

GPIO的基本结构通常包括以下几个主要组成部分:

  1. 输入/输出引脚(IO Pins)GPIO芯片上的引脚用于连接外部设备或其他电路。这些引脚可以被配置为输入或输出模式,以实现对外部信号的读取或控制。

  2. 控制逻辑(Control Logic)控制逻辑是用来配置和控制GPIO引脚工作模式和行为的电路。它接收来自外部或内部的控制信号,并根据相应的配置将其传递给相应的GPIO引脚。

  3. 寄存器(Registers)寄存器是用来存储GPIO的配置和状态信息的特殊内存单元。通过读写寄存器中的特定位或字段,可以配置引脚的工作模式、使能或禁用某些功能,以及监控引脚的状态。

  4. 数据缓冲器(Data Buffer)数据缓冲器用于在GPIO引脚和外部设备之间传输数据。根据引脚的配置,数据缓冲器可以将输出数据驱动到外部设备,或者从外部设备读取输入数据。

  5. 驱动器(Drive)驱动器用于增强信号在引脚与寄存器之间的传输。对于一些信号来说,传输信号比较弱,通过配置驱动器,使之增强达到驱动效果。

在这里插入图片描述
这里的GPIO连接着APB2总线;软件的编程程序将会从这里输入或输出;

下图为STM32总电路图

GPIO位结构

对于STM32每个端口位来说,每个I/O端口位可以自由编程,然而必须按照32位字访问I/O端口寄存器(不允许半字或字节访问)。

下图是I/O端口位的基本结构
在这里插入图片描述
整体分为寄存器,驱动器和某个I/O引脚
上半部分是输入部分,下半部分是输出部分;

先从I/O引脚说起,这里的两个保护二极管是对输入电压的限制;上边接的是3.3V的VDD,下边接的是0V的VSS;如果接入的电压超过3.3V,那么输入的电压产生的电流将会流入VDD,避免过高的电压对电路产生伤害;如果接入的电压小于0V(相对VSS),那么电流就会从VSS的正极流向I/O引脚,从而保护电路;
所以,保护二极管将输入电压限制在了0-3.3V之间了;

接着看输入驱动器的连接电阻的部分,这里连接的是上拉电阻和下拉电阻,上拉电阻连接VDD,下拉电阻连接VSS,因此可以通过程序来控制;
如果上面导通,下面断开,就是上拉输入模式;如果下面导通,上面断开,就是下拉输入模式;如果两个都断开,就是浮空输入模式
这个上拉和下拉目的是为了提供一个默认的输入电平;对于数字信号来说,只识别高电平和低电平;倘若输入引脚没有接上外设,就不知道此时是高电平还是低电平,实际此时处于浮空输入状态吗,那么此时很容易受到外界的干扰,接上了这两个电阻后,当接上是上拉电阻,引脚悬空时可由上拉电阻来保持高电平,所以上拉默认为高电平输入模式;下拉就反过来,保持低电平状态,下拉默认为低电平输入模式,这样就能防止外界的干扰,使浮空时状态更加稳定;
还有这里是弱上拉和弱下拉,目的是为了不影响正常操作;

顺着电路看到TTL,施密特触发器,作用是对电压进行整形
执行逻辑是输入电压高于某一阈值,那么将会瞬间变为高电平;输入电压低于某一阈值,那么将会瞬间变为低电平
由于输入电压是从外界进来的,输入的数字信号不会保持一定程度的高低电平,输入信号会有所波动,所以有这个触发器就能让这些波动变为高低电平;

然后看到输入数据寄存器,通过施密特触发器处理的数字信号将会进入到寄存器,我们用程序就可以读取到寄存器中的数据

看到上方的模拟输入和复用功能输入,这里是连接片上外设的,模拟输入是ADC模拟数字信号,接在施密特触发器前,可以处理信号;复用功能输入接收的是数字量,所以接在施密特触发器之后;

接着看输出部分,数字信号可以由输出寄存器或片上外设控制;两种输出模式都会通过数据选择器接到输出控制部分;如果是输出寄存器,那么通过普通的I/O口就能进行输出;

最左边的位设置/清除寄存器,用来单独操作输出寄存器的某一位,而不影响其他位。因为这个输出寄存器有16位,且这个寄存器只能整体读写,所以通过这个设置/清除寄存器,能够设置某一位为1或0,剩下不需要的位将它们置为0/1即可;接着就会对输出寄存器对应位置的位进行传输;直接一步到位;
位设置就是将某一位设置为1,位清除就是将某一位设置为0;

接着看到两个MOS管,它是一种电子开关,通过信号来控制开关的导通和关闭,开关负责将接口接到VSS或VDD;
这里有两种模式,第一种是推挽输出模式,这个模式P-MOS和N-MOS均有效。数据寄存器输出1时那么上管导通下管关闭,输出直接接到VDD,保持高电平;数据为0时,那么上管关闭下管导通,输出直接接到VSS,保持低电平;这种模式高低电平均有较强的驱动能力,所以推挽输出也叫强输出模式
第二种是开漏输出模式,这个模式只有N-MOS有效;数据寄存器输出1时,下管断开,这时相当于输出断开,也就是高阻模式,无效;数据寄存器输出0时,下管导通,输出直接接到VSS,也就是输出低电平;也就是说,这种模式高电平没有驱动能力,低电平才有驱动能力
关闭就说明两个MOS管都关闭,输出无效;

GPIO模式

根据数据手册中列出的每个I/O端口的特定硬件特征, GPIO端口的每个位可以由软件分别配置成多种模式
在这里插入图片描述
下面给出各模式配置的电路图:

浮空/上拉/下拉输入
在这里插入图片描述

模拟输入
在这里插入图片描述

推挽/开漏输出
在这里插入图片描述

复用推挽/开漏输出
在这里插入图片描述

LED和蜂鸣器

LED:发光二极管,正向通电点亮,反向通电不亮
有源蜂鸣器:内部自带振荡源,将正负极接上直流电压即可持续发声,频率固定,(我们所使用的是有源蜂鸣器)
无源蜂鸣器:内部不带振荡源,需要控制器提供振荡脉冲才可发声,调整提供振荡脉冲的频率,可发出不同频率的声音
在这里插入图片描述
在这里插入图片描述
这是LED蜂鸣器连接STM32的电路图。

第一个是由电源提供连接二极管的正极,二极管负极连接STM32,通过STM32的低电平触发,二极管正向导通,STM32高电平二极管两端都为3.3V,无法使二极管导通;连接电阻是控制电流大小,还有可以调节二极管的亮度。
第三个是让二极管正极接在STM32的PA0口上,负极接地;那么只有在STM32输出高电平时,发光二极管才会正向导通;
上面介绍中,在推挽输出模式下,高低电平均有较强的驱动能力,所以两种解法均可。一般情况下,我们习惯用第一种方法,因为单片机和芯片上使用的是高电平弱驱动,低电平强驱动的规则,避免高低电平混乱

第二个是蜂鸣器电路,用到三极管驱动方案;
对于功率比较大的,直接用I/O口连接的话STM32负担较大,所以采用三极管的驱动方案;三极管左边是基极,带箭头是发射极,下面是集电极;左边的基极给低电平,三极管就会导通,通过3.3V和GND的连接,三接管就导通;基极给出高电平,三接管就会关闭,蜂鸣器无电流通过;
第四个图也是蜂鸣器电路,但操作方式与第二个正好相反;
一样的,三极管左边是基极,带箭头是发射极,下面是集电极;当基极为高电平时,三极管导通,蜂鸣器有电流;低电平时,三极管关闭,蜂鸣器无电流;
三极管的导通需要基极和发射极保持一定的电压;

LED闪烁工程及程序原码

我们要在面包板上连接好我们的电路:
在这里插入图片描述
之后就可以编写程序了。
我们首先复制工程模板,创建一个工程文件夹;然后在mian.c上操作即可;
首先需要对GPIO的I/O接口进行一定的配置;

● 输出缓冲器被激活
─ 开漏模式:输出寄存器上的’0’激活N-MOS,而输出寄存器上的’1’将端口置于高阻状态(PMOS从不被激活)。
─ 推挽模式:输出寄存器上的’0’激活N-MOS,而输出寄存器上的’1’将激活P-MOS。
● 施密特触发输入被激活
● 弱上拉和下拉电阻被禁止
● 出现在I/O脚上的数据在每个APB2时钟被采样到输入数据寄存器
● 在开漏模式时,对输入数据寄存器的读访问可得到I/O状态
● 在推挽式模式时,对输出数据寄存器的读访问得到最后一次写的值。

我们在STM32的总线路可以看出需要设置APB2外设时钟开关;
当外设时钟没有启用时,软件不能读出外设寄存器的数值,返回的数值始终是0x0。
这里我们输入RCC_APB2PeriphClockCmd函数,输入后选中该函数,点击右键,
在这里插入图片描述
选中定义,
在这里插入图片描述
这里我们可以看清这个函数的参数使用和函数的功能;
参数这里选择RCC_APB2Periph_GPIOA,ENABLE

接着就对GPIO进行模式选择和I/O端位口的选择;
在库函数中,使用的是结构体,我们需要对结构体给出一个变量,
GPIO_InitTypeDef GPIO_InitStructure
然后跟上面同意的道理,选中进入定义;
在这里插入图片描述

对结构体成员进行赋值,也就是模式和端口位的选择;
最后就对GPIO结构体进行初始化,这样就完成GPIO的输出配置。

代码:

delay.c

#include "stm32f10x.h"/*** @brief  微秒级延时* @param  xus 延时时长,范围:0~233015* @retval 无*/
void Delay_us(uint32_t xus)
{SysTick->LOAD = 72 * xus;				//设置定时器重装值SysTick->VAL = 0x00;					//清空当前计数值SysTick->CTRL = 0x00000005;				//设置时钟源为HCLK,启动定时器while(!(SysTick->CTRL & 0x00010000));	//等待计数到0SysTick->CTRL = 0x00000004;				//关闭定时器
}/*** @brief  毫秒级延时* @param  xms 延时时长,范围:0~4294967295* @retval 无*/
void Delay_ms(uint32_t xms)
{while(xms--){Delay_us(1000);}
}/*** @brief  秒级延时* @param  xs 延时时长,范围:0~4294967295* @retval 无*/
void Delay_s(uint32_t xs)
{while(xs--){Delay_ms(1000);}
} 

delay.h

#ifndef __DELAY_H
#define __DELAY_Hvoid Delay_us(uint32_t us);
void Delay_ms(uint32_t ms);
void Delay_s(uint32_t s);#endif

main.c

#include "stm32f10x.h"                  // Device header
#include "Delay.h"int main()
{//设置APB2外设时钟开关RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA,ENABLE);//GPIO初始化的结构体 变量类型GPIO_InitTypeDef GPIO_InitStructure;//对结构体成员进行赋值GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;//推挽输出GPIO_InitStructure.GPIO_Pin=GPIO_Pin_0;GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//GPIO初始化GPIO_Init(GPIOA,&GPIO_InitStructure);while(1){//清除所选端口数据端口位,置0GPIO_ResetBits(GPIOA,GPIO_Pin_0);Delay_ms(100);//设置所选端口数据端口位,置1GPIO_SetBits(GPIOA,GPIO_Pin_0);Delay_ms(500);//设置或清除所选数据端位口GPIO_WriteBit(GPIOA,GPIO_Pin_0,Bit_RESET);Delay_ms(500);GPIO_WriteBit(GPIOA,GPIO_Pin_0,Bit_SET);Delay_ms(500);GPIO_WriteBit(GPIOA,GPIO_Pin_0,(BitAction)0);//强制转换为1Delay_ms(500);GPIO_WriteBit(GPIOA,GPIO_Pin_0,(BitAction)1);Delay_ms(500);}
}

接着就是在while(1)循环中让PA0口在高低电平中置换;
这里可以直接设置/清除所选数据端口位函数GPIO_SetBitsGPIO_ResetBits,也可以写入用设置或清除所选数据端口位函数GPIO_WriteBit
在这里插入图片描述
在这里插入图片描述

这里的位用了枚举类型,可以运用枚举类型的定义,进行不同的切换;
在这里插入图片描述

蜂鸣器工程和程序原码

在这里插入图片描述

代码

#include "stm32f10x.h"                  // Device header
#include "Delay.h"int main()
{//设置APB2外设时钟开关RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);//GPIO初始化的结构体 变量类型GPIO_InitTypeDef GPIO_InitStructure;//对结构体成员进行赋值GPIO_InitStructure.GPIO_Mode=GPIO_Mode_Out_PP;GPIO_InitStructure.GPIO_Pin=GPIO_Pin_12;GPIO_InitStructure.GPIO_Speed=GPIO_Speed_50MHz;//GPIO初始化GPIO_Init(GPIOB,&GPIO_InitStructure);while(1){GPIO_ResetBits(GPIOB,GPIO_Pin_12);Delay_ms(100);GPIO_SetBits(GPIOB,GPIO_Pin_12);Delay_ms(100);GPIO_ResetBits(GPIOB,GPIO_Pin_12);Delay_ms(100);GPIO_SetBits(GPIOB,GPIO_Pin_12);Delay_ms(700);}
}

传感器

传感器模块:传感器元件(光敏电阻/热敏电阻/红外接收管等)的电阻会随外界模拟量的变化而变化,电阻不好观察,再通过与定值电阻分压即可得到模拟电压输出,最后通过电压比较器进行二值化即可得到数字电压输出
在这里插入图片描述
![在这里插入图片描述](https://img-blog.csdnimg.cn/57325896b78d4f71b3f3017e9f906078.png
这是传感器的电路图。
先看第三个电路图,N1就是可变电阻,随着光线、温度、等外界因素的变化而变化;R1是N1分压的定值电阻,R1与N1串联,定值电阻一端接VCC,N1一端接GND,这就构成简单的串联电路,C2是滤波电容,可以保证电路的稳定。它并不是电路的主要框架,看电路图可以先把它省略。AO就是我们模拟的电压输出;
该模块还支持数字输出,AO通过电压比较器的二值化(第一个图)将模拟电压转换为数字电压DO;
第二个图是可调值电阻,通过该电阻可以调节传感器的变化阈值;
第四个图也就是总的传感器模块图;DO连接着发光二极管,低电平时亮,高电平时不亮;
我们连接是转换为数字电压输出,所以AO引脚可以不接。

光敏传感器控制蜂鸣器工程

在这里插入图片描述
这里对蜂鸣器和传感器分别装在一个文件中,创建完记得在三色箱子进行拓充和魔法棒的C/C++进行补充;
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

代码

buzzer.h

#ifndef __BUZZER_H__
#define __BUZZER_H__void Buzzer_Init();
void Buzzer_ON();
void Buzzer_OFF();
void Buzzer_Turn();#endif

buzzer.c

#include "stm32f10x.h"                  // Device header//Buzzer的初始化
void Buzzer_Init()
{//设置APB2外设时钟开关RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);//选择结构体成员GPIO_InitTypeDef GPIO_InitStructure;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_Out_PP;GPIO_InitStructure.GPIO_Pin = GPIO_Pin_12;GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;//初始化结构体GPIO_Init(GPIOB, &GPIO_InitStructure);//初始化为低电平,改为高电平GPIO_SetBits(GPIOB, GPIO_Pin_12);}//Buzzer打开
void Buzzer_ON()
{GPIO_ResetBits(GPIOB, GPIO_Pin_12);
}
//Buzzer关闭
void Buzzer_OFF()
{GPIO_SetBits(GPIOB, GPIO_Pin_12);
}//Buzzer执行相反的操作
void Buzzer_Turn()
{if(GPIO_ReadOutputDataBit(GPIOB,GPIO_Pin_12)==0){GPIO_SetBits(GPIOB, GPIO_Pin_12);}else{GPIO_ResetBits(GPIOB, GPIO_Pin_12);}
}

LightSensor.c

#include "stm32f10x.h"                  // Device header//传感器的初始化
void LightSensor_Init(void)
{RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB, ENABLE);GPIO_InitTypeDef GPIO_InitStructure;GPIO_InitStructure.GPIO_Mode = GPIO_Mode_IPU;//上拉输入,默认高电平GPIO_InitStructure.GPIO_Pin = GPIO_Pin_13;GPIO_InitStructure.GPIO_Speed = GPIO_Speed_50MHz;GPIO_Init(GPIOB, &GPIO_InitStructure);
}//获取传感器的信号
uint8_t LightSensor_Get(void)
{return GPIO_ReadInputDataBit(GPIOB, GPIO_Pin_13);//灯光较亮时,传感器的指示灯亮,输入低电平//灯光较暗时,传感器指示灯不亮,输入高电平
}

LightSensor.h

#ifndef __LIGHTSENSOR_H__
#define __LIGHTSENSOR_H__void LightSensor_Init(void);
uint8_t LightSensor_Get(void);//uint8_t表示unsigned char#endif

mian.c

#include "stm32f10x.h"                  // Device header
#include "Delay.h"
#include "Buzzer.h"
#include "LightSensor.h"int main()
{LightSensor_Init();Buzzer_Init();while(1){//外界较暗时,感应器输入高电平(1),蜂鸣器响起if(LightSensor_Get()){Buzzer_ON();}//外界较亮时,感应器输入低电平(0),蜂鸣器不响else{Buzzer_OFF();}}
}

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/79481.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

GO学习之 函数(Function)

GO系列 1、GO学习之Hello World 2、GO学习之入门语法 3、GO学习之切片操作 4、GO学习之 Map 操作 5、GO学习之 结构体 操作 6、GO学习之 通道(Channel) 7、GO学习之 多线程(goroutine) 8、GO学习之 函数(Function) 9、GO学习之 接口(Interface) 文章目录 GO系列前言一、什么是…

UNITY3D 虚拟数字人方向,动捕设备测评 VDSuit-Full

我们成功的用它做了线下演出活动。 开发测试视频 VDSuit-Full动捕开发 分别说优点和不足 优点: 人工技术答疑及时 有厂家解答各种疑难杂症(工作日一般1小时就得到回复) 比如穿戴,使用方法,限制等。 动作整体捕捉效果较…

【使用内网穿透从公网对本地内网Web服务器访问】

公网访问本地内网web服务器【内网穿透】 文章目录 公网访问本地内网web服务器【内网穿透】前言1. 首先安装PHPStudy2.下载一个开源的网页文件3. 选择“创建网站”并将网页内容指向下载好的开源网页文件4. 打开本地网页5. 打开本地cpolar客户端6. 保存隧道设置 生成数据隧道 前言…

SpringCloud深度学习(在更)

微服务简介 微服务是什么? 微服务是一种架构风格,将一个大型应用程序拆分为一组小型、自治的服务。每个服务都运行在自己独立的进程中,使用轻量级的通信机制(通常是HTTP或消息队列)进行相互之间的通信。这种方式使得…

如何恢复已删除的 PDF 文件 - Windows 11、10

在传输数据或共享专业文档时,大多数人依赖PDF文件格式,但很少知道如何恢复意外删除或丢失的PDF文件。这篇文章旨在解释如何有效地恢复 PDF 文件。如果您身边有合适的数据恢复工具,PDF 恢复并不像看起来那么复杂。 便携式文档格式&#xff08…

栈和队列的实现

Lei宝啊:个人主页(也许有你想看的) 愿所有美好不期而遇 前言 : 栈和队列的实现与链表的实现很相似,新瓶装旧酒,没什么新东西。 可以参考这篇文章: -------------------------无头单向不循环…

D. Productive Meeting

Example input 8 2 2 3 3 1 2 3 4 1 2 3 4 3 0 0 2 2 6 2 3 0 0 2 5 8 2 0 1 1 5 0 1 0 0 6 output 2 1 2 1 2 3 1 3 2 3 2 3 5 1 3 2 4 2 4 3 4 3 4 0 2 1 2 1 2 0 4 1 2 1 5 1 4 1 2 1 5 2 解析: 贪心,每次选择两个剩余次数最多的人,并…

ad+硬件每日学习十个知识点(24)23.8.4(时序约束,SignalTap Ⅱ)

文章目录 1.建立时间和保持时间2.为什么要建立时序约束?3.SignalTap Ⅱ4.SignalTap Ⅱ使用方法5.HDL的仿真软件(modelsim)6.阻抗匹配 1.建立时间和保持时间 答: 2.为什么要建立时序约束? 答: 3.Sign…

火力全开!百度文心3.5三大维度、20项指标国内问鼎!

近日,清华大学新闻与传播学院沈阳团队发布《大语言模型综合性能评估报告》(下文简称“报告”),报告显示百度文心一言在三大维度20项指标中综合评分国内第一,超越ChatGPT,其中中文语义理解排名第一&#xff…

深度学习——全维度动态卷积ODConv

ODConv(OMNI-DIMENSIONAL DYNAMIC CONVOLUTION)是一种关注了空域、输入通道、输出通道等维度上的动态性的卷积方法,因此被称为全维度动态卷积。 part1. 什么是动态卷积 动态卷积就是对卷积核进行线性加权 第一篇提出动态卷积的文章也是在SE之后,他提出…

14.2.2 【Linux】software, hardware RAID

磁盘阵列分为硬件与软件。所谓的硬件磁盘阵列是通过磁盘阵列卡来达成阵列的目的。磁盘阵列卡上面有一块专门的芯片在处理 RAID 的任务,因此在性能方面会比较好。在很多任务 (例如 RAID 5 的同位检查码计算) 磁盘阵列并不会重复消耗原本系统的…

Harbor企业镜像仓库部署

目录 一、Harbor 架构构成 二、部署harbor环境 1、安装docker-ce(所有主机) 2、阿里云镜像加速器 3、部署Docker Compose 服务 4、部署 Harbor 服务 5、启动并安装 Harbor 6、创建一个新项目 三、客户端上传镜像 1、在 Docker 客户端配置操作如下…

微服务——RestClient查询文档

快速入门 返回结果直接把json风格的结果封装为SearchReponse对象返回 public class HotelSearchTest {private RestHighLevelClient client;Testvoid testMatchAll() throws IOException {//1.准备requestSearchRequest request new SearchRequest("hotel");//2.准…

Transformer1.0-预热

一.Encoder encoder:译为编码器,负责将输入序列压缩成指定长度的向量,这个向量就可以堪称是这个序列的语义。然后可进行编码或特征提取等操作 在transformer中encoder由6个相同的层组成,每个层包含 Multi-Head Self-AttentionPosition-Wise …

【Vue】Parsing error: No Babel config file detected for ... vue

报错 Parsing error: No Babel config file detected for E:\Study\Vue网站\实现防篡改的水印\demo02\src\App.vue. Either disable config file checking with requireConfigFile: false, or configure Babel so that it can find the config files.             …

GD32F103VE侵入事件

GD32F103VE的TAMPER引脚(PC13),当PC13输入低电平时,会产生一个侵入检测事件。它会将所有“数据备份寄存器”内容清除。 这个功能有什么用? 一是防止被人开壳,抄袭。二是自毁功能。 直奔主题,多一句就是浪费时间。测试…

Docker快速入门笔记

Docker快速入门 前言 当今软件开发领域的一股热潮正在迅速兴起,它融合了便捷性、灵活性和可移植性,让开发者们欣喜若狂。它就是 Docker!无论你是一个初学者,还是一位经验丰富的开发者,都不能错过这个引领技术浪潮的工…

Elastic的下载

文章目录 ElasticSearch的下载扩展1(ElasticSearch 与 JDK 版本 适配)扩展2(访问 http://192.168.1.200:9200 没有显示信息)扩展3(免密登录) ElasticSearch的下载 官方下载网址:https://www.el…

maven install命令:将包安装在本地仓库,供本地的其它工程或者模块依赖

说明 有时候,自己本地的maven工程依赖于本地的其它工程,或者manven工程中的一个模块依赖于另外的模块,可以执行maven的install命令,将被依赖的包安装在maven本地仓库。 示例 一个工程包含几个模块,模块之间存在依赖…

码云 Gitee + Jenkins 配置教程

安装jdk 安装maven 安装Jenkins https://blog.csdn.net/minihuabei/article/details/132151292?csdn_share_tail%7B%22type%22%3A%22blog%22%2C%22rType%22%3A%22article%22%2C%22rId%22%3A%22132151292%22%2C%22source%22%3A%22minihuabei%22%7D 插件安装 前往 Manage Jen…