嵌入式开发学习(STC51-18-LCD液晶显示)

内容

在LCD1602液晶上显示字符信息;

LCD1602介绍

简介

1602液晶也叫1602字符型液晶,它能显示2行字符信息,每行又能显示16个字符;

它是一种专门用来显示字母、数字、符号的点阵型液晶模块;

它是由若干个5x7或者5x10的点阵字符位组成,每个点阵字符位都可以用显示一个字符,每位之间有一个点距的间隔,每行之间也有间隔,起到了字符间距和行间距的作用,正因为如此,所以它不能很好的显示图片;

管脚说明

LCD1602有16个管脚孔,从左至右管脚编号顺序是1-16

其功能定义如下所示:
在这里插入图片描述
部分管脚说明:

  • 3脚:VL,液晶显示偏压信号,用于调整LCD1602的显示对比度,一般会外接电位器用以调整偏压信号,注意此脚电压为0时可以得到最强的对比度;
  • 4脚:RS,数据/命令选择端,当此脚为高电平时,可以对1602进行数据字节的传输操作;而低电平时,则是进行命令字节的传输操作;命令字节,即是用来对LCD1602的一些工作方式作设置的字节;数据字节,即使用以在1602上显示的字节;LCD1602的数据是8位的;
  • 5脚:R/W,读写选择端;当此脚为高电平可对LCD1602进行读数据操作,反之进行写数据操作;
  • 6脚:E,使能信号,其实是LCD1602的数据控制时钟信号,利用该信号的上升沿实现对LCD1602的数据传输;
  • 7~14脚:8位并行数据口,而51单片机一组IO也是8位,使得对LCD1602的数据读写大为方便;

DDRAM说明

在LCD1602内部含有80个字节的DDRAM,它是用来寄存显示字符的;

其地址和屏幕的对应关系如下表:
在这里插入图片描述
在这里插入图片描述
从上图可知,不是所有的地址都可以直接用来显示字符数据,只有第一行中的00-0F,第二行中的40-4F才能显示,其他地址只能用于存储;

要显示字符时要先输入显示字符地址,也就是告诉模块在哪里显示字符,而且写入显示地址时要求最高位D7恒定为高电平1,例如第二行第一个字符的地址是40H,所以要写入的数据应该是01000000B(40H)+10000000B(80H)=11000000B(C0H);

在1602中我们只用前16个地址就行了,第二行也一样用前16个地址;

常用指令

在使用LCD1602时,我们需要掌握一些常用的指令,这些指令对于LCD1602初始化是必须的;

清屏指令

在这里插入图片描述

  • 清除液晶显示器,即将DDRAM的内容全部填入"空白"的ASCII码20H;
  • 光标归位,即将光标撤回液晶显示屏的左上方;
  • 将地址计数器(AC)的值设为0;

模式设置指令

在这里插入图片描述

  • 设定每次写入1位数据后光标的移位方向,并且设定每次写入的一个字符是否移动;
  • I/D:0 = 写入新数据后光标左移;1 = 写入新数据后光标右移;
  • S:0 = 写入新数据后显示屏不移动;1 = 写入新数据后显示屏整体右移1个字符;

显示开关控制指令

在这里插入图片描述

  • 控制显示器开/关、光标显示/关闭以及光标是否闪烁;
  • D:0 = 显示功能关;1 = 显示功能开;
  • C:0 = 无光标;1 = 有光标;
  • B:0 = 光标闪烁;1 = 光标不闪烁;

功能设定指令

在这里插入图片描述

  • 设定数据总线位数、显示的行数及字型;
  • DL:0 = 数据总线为4位;1 = 数据总线为8位;
  • N:0 = 显示1行;1 = 显示2行;
  • F:0 = 5×7点阵/每字符;1 = 5×10点阵/每字符;

使用方法

要使用LCD1602,首先需要对其初始化,即通过写入一些特定的指令实现;

然后选择要在LCD1602的哪个位置显示并将所要显示的数据发送到LCD的DDRAM;

使用LCD1602通常都是用于写数据进去,很少使用读功能;

LCD1602操作步骤如下所示:

1 初始化
2 写命令(RS=L),设置显示坐标
3 写数据(RS=H)

工作时序

LCD1602一般不需要读出它的数据的状态或者数据本身;

所以只需要看两个写时序:

  • 当要写指令字,设置LCD1602的工作方式时:把RS置为低电平,RW置为低电平,然后将数据送到数据口D0~D7,最后E引脚一个高脉冲将数据写入;
  • 当要写入数据字,在LCD1602上实现显示时:把RS置为高电平,RW置为低电平,然后将数据送到数据口D0~D7,最后E引脚一个高脉冲将数据写入;
  • 写指令和写数据,差别仅仅在于 RS 的电平不一样而已;

LCD1602时序图:
在这里插入图片描述
在这里插入图片描述
从上图可以看到,以上给的时间参数全部是ns级别的,而51单片机的机器周期是1us,指令周期是2-4个机器周期,所以即便在程序里不加延时程序,也可以很好的配合LCD1602的时序要求;

当要写命令字节的时候,时间由左往右,RS变为低电平,R/W变为低电平,注意看是RS的状态先变化完成;

然后这时,DB0~DB7上数据进入有效阶段,接着E引脚有一个整脉冲的跳变,接着要维持时间最小值为tpw=400ns的E脉冲宽度;

然后E引脚负跳变,RS电平变化,R/W电平变化,这样便是一个完整的LCD1602写命令的时序;

注意:这里介绍的是8位LCD1602,现在某些公司为简化引脚数,使用4位LCD1602;使用4位LCD1602时,应该多看手册,找到不同点,对原有程序加以修改;

原理图

在这里插入图片描述
在这里插入图片描述
从线路图中可知,LCD1602的8位数据口D0-D7与单片机的P0.0-P0.7管脚连接,LCD1602的RS、WR、E脚与单片机的P2.6、P2.5、P2.7管脚连接;

RJ1是一个电位器,用来调节LCD1602对比度即显示亮度;

思路

根据lcd1602的写指令和数据的时序编写相应程序;

根据lcd1602的指令格式,编写必要的初始化、清屏和显示程序;

编码

User

main.c

/** @Description: 使LCD1602上显示字符信息*/
#include "public.h"
#include "lcd1602.h"void main()
{lcd1602_init();							   // LCD1602初始化lcd1602_show_string(0, 0, "Hello World!"); // 第一行显示lcd1602_show_string(0, 1, "0123456789");   // 第二行显示while (1){}
}

Public

public.h

#ifndef _public_H
#define _public_H#include "reg52.h"typedef unsigned int u16; // 对系统默认数据类型进行重定义
typedef unsigned char u8;void delay_10us(u16 ten_us);
void delay_ms(u16 ms);#endif

public.c

#include "public.h"/*** @description: 延时函数,ten_us=1时,大约延时10us* @param {u16} ten_us 延时倍数* @return {*}*/
void delay_10us(u16 ten_us)
{while (ten_us--);
}/**ms延时函数,ms=1时,大约延时1ms**** @param {u16} ms 延时倍数* @return {*}*/
void delay_ms(u16 ms)
{u16 i, j;for (i = ms; i > 0; i--)for (j = 110; j > 0; j--);
}

App/lcd1602

lcd1602.h

#ifndef _lcd1602_H
#define _lcd1602_H#include "public.h"// LCD1602数据口4位和8位定义,若为1,则为LCD1602四位数据口驱动,反之为8位
#define LCD1602_4OR8_DATA_INTERFACE 0 // 默认使用8位数据口LCD1602// 管脚定义
sbit LCD1602_RS = P2 ^ 6;	// 数据命令选择
sbit LCD1602_RW = P2 ^ 5;	// 读写选择
sbit LCD1602_E = P2 ^ 7;	// 使能信号
#define LCD1602_DATAPORT P0 // 宏定义LCD1602数据端口// 函数声明
void lcd1602_init(void);
void lcd1602_clear(void);
void lcd1602_show_string(u8 x, u8 y, u8 *str);#endif

lcd1602.c

#include "lcd1602.h"#if (LCD1602_4OR8_DATA_INTERFACE == 0) // 8位LCD
/*** @description: LCD1602写命令* @param {u8} cmd 指令* @return {*}*/
void lcd1602_write_cmd(u8 cmd)
{LCD1602_RS = 0; // 选择命令LCD1602_RW = 0; // 选择写LCD1602_E = 0;LCD1602_DATAPORT = cmd; // 准备命令delay_ms(1);LCD1602_E = 1; // 使能脚E先上升沿写入delay_ms(1);LCD1602_E = 0; // 使能脚E后负跳变完成写入
}
#else // 4位LCD
void lcd1602_write_cmd(u8 cmd)
{LCD1602_RS = 0; // 选择命令LCD1602_RW = 0; // 选择写LCD1602_E = 0;LCD1602_DATAPORT = cmd; // 准备命令delay_ms(1);LCD1602_E = 1; // 使能脚E先上升沿写入delay_ms(1);LCD1602_E = 0; // 使能脚E后负跳变完成写入LCD1602_DATAPORT = cmd << 4; // 准备命令delay_ms(1);LCD1602_E = 1; // 使能脚E先上升沿写入delay_ms(1);LCD1602_E = 0; // 使能脚E后负跳变完成写入
}
#endif#if (LCD1602_4OR8_DATA_INTERFACE == 0) // 8位LCD
/*** @description: LCD1602写数据* @param {u8} dat 数据* @return {*}*/
void lcd1602_write_data(u8 dat)
{LCD1602_RS = 1; // 选择数据LCD1602_RW = 0; // 选择写LCD1602_E = 0;LCD1602_DATAPORT = dat; // 准备数据delay_ms(1);LCD1602_E = 1; // 使能脚E先上升沿写入delay_ms(1);LCD1602_E = 0; // 使能脚E后负跳变完成写入
}
#else
void lcd1602_write_data(u8 dat)
{LCD1602_RS = 1; // 选择数据LCD1602_RW = 0; // 选择写LCD1602_E = 0;LCD1602_DATAPORT = dat; // 准备数据delay_ms(1);LCD1602_E = 1; // 使能脚E先上升沿写入delay_ms(1);LCD1602_E = 0; // 使能脚E后负跳变完成写入LCD1602_DATAPORT = dat << 4; // 准备数据delay_ms(1);LCD1602_E = 1; // 使能脚E先上升沿写入delay_ms(1);LCD1602_E = 0; // 使能脚E后负跳变完成写入
}
#endif#if (LCD1602_4OR8_DATA_INTERFACE == 0) // 8位LCD
/*** @description: LCD1602初始化* @return {*}*/
void lcd1602_init(void)
{lcd1602_write_cmd(0x38); // 数据总线8位,显示2行,5*7点阵/字符lcd1602_write_cmd(0x0c); // 显示功能开,无光标,光标闪烁lcd1602_write_cmd(0x06); // 写入新数据后光标右移,显示屏不移动lcd1602_write_cmd(0x01); // 清屏
}
#else
void lcd1602_init(void)
{lcd1602_write_cmd(0x28); // 数据总线4位,显示2行,5*7点阵/字符lcd1602_write_cmd(0x0c); // 显示功能开,无光标,光标闪烁lcd1602_write_cmd(0x06); // 写入新数据后光标右移,显示屏不移动lcd1602_write_cmd(0x01); // 清屏
}
#endif/*** @description: LCD1602清屏* @return {*}*/
void lcd1602_clear(void)
{lcd1602_write_cmd(0x01);
}/*** @description: LCD1602显示字符* @param {u8} x 坐标* @param {u8} y 坐标* @param {u8} *str 要显示的字符串* @return {*}*/
void lcd1602_show_string(u8 x, u8 y, u8 *str)
{u8 i = 0;if (y > 1 || x > 15)return; // 行列参数不对则强制退出if (y < 1) // 第1行显示{while (*str != '\0') // 字符串是以'\0'结尾,只要前面有内容就显示{if (i < 16 - x) // 如果字符长度超过第一行显示范围,则在第二行继续显示{lcd1602_write_cmd(0x80 + i + x); // 第一行显示地址设置}else{lcd1602_write_cmd(0x40 + 0x80 + i + x - 16); // 第二行显示地址设置}lcd1602_write_data(*str); // 显示内容str++;					  // 指针递增i++;}}else // 第2行显示{while (*str != '\0'){if (i < 16 - x) // 如果字符长度超过第二行显示范围,则在第一行继续显示{lcd1602_write_cmd(0x80 + 0x40 + i + x);}else{lcd1602_write_cmd(0x80 + i + x - 16);}lcd1602_write_data(*str);str++;i++;}}
}

编译和结果

按F7编译,无错误,生成.hex文件,使用pz-isp将hex文件下载到单片机

结果:lcd液晶屏上正确显示内容;
在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/81009.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

座舱开发的“道”与“术”

前言&#xff1a; 近年来&#xff0c;随着汽车“新四化”浪潮的兴起&#xff0c;软件定义已成为产业共识&#xff0c;将深度参与到整个汽车的定义、开发验证销售以及服务全过程。一方面确保软件可升级&#xff0c;跨车型、软件甚至跨车企软件重用。另一方面对于硬来讲&#xf…

任务 13、MidJourney种子激发极致创作,绘制震撼连贯画作

13.1 任务概述 通过本次实验任务&#xff0c;学员将深入了解Midjourney种子的概念和重要性&#xff0c;以及种子对生成图像的影响。他们将学会在Midjourney平台中设置种子值并调整其参数&#xff0c;以达到所需的效果。此外&#xff0c;任务还详细介绍了Midjourney V4.0版本中…

UNIX网络编程——UDP协议,CS架构

目录 一.socket创建通信的套接字 二.IPv4地址结构 三.通用地址结构 四. 两种地址结构的使用场合 五.sendto发送数据 六.bind固定地址信息​编辑 七.recvfrom接受UDP的消息​编辑 一.socket创建通信的套接字 二.IPv4地址结构 三.通用地址结构 四. 两种地址结构的使用场合…

【Linux】结合Python 简易实现监控公司网站,邮件发送异常

目录 背景 实现思路 邮件4小时内只会发送一次&#xff0c;如果执行了发送邮件的脚本&#xff0c;就使用sed命令将对应的调用代码置为无效 请求脚本 Python邮件发送脚本 定时任务设置 恢复邮件发送能力脚本 资料获取方法 背景 由于一些原因&#xff0c;博主负责测试的网…

入门Echarts数据可视化:从基础到实践

目录 引言数据可视化的重要性Echarts资源与拓展 Echarts简介及开发准备什么是EchartsEcharts的特点与优势安装Echarts引入Echarts库 第一个图表使用Echarts绘制一个简单的柱状图数据准备与图表配置数据格式要求图表标题与标签设置 实践与性能优化提升图表渲染性能的技巧响应式设…

多态总结

什么是多态&#xff1f; 所谓多态&#xff0c;就是同一个操作&#xff0c;作用在了不同的对象上&#xff0c;就会有不同的解释&#xff0c;进而产生不同的执行结果。使用时&#xff0c;是采用父类指针指向子类对象的方法。其中&#xff0c;重载和重写是常见的实现多态的手段。…

深入理解机器学习与极大似然之间的联系

似然函数&#xff1a;事件A的发生含着有许多其它事件的发生。所以我就把这些其它事件发生的联合概率来作为事件A的概率&#xff0c;也就是似然函数。数据类型的不同&#xff08;离散型和连续性&#xff09;就有不同的似然函数 极大似然极大似然估计方法&#xff08;Maximum Li…

sql server 删除指定字符串

replace方法 update #test set FIVCODEreplace(FIVCODE,440,) WHERE SOURCEFENTRYID140728

无数资深果粉称之为 Mac 装机必备软件的 ——CleanMyMac X

它就是被无数资深果粉称之为 Mac 装机必备软件的 ——CleanMyMac X。或许你没用过它&#xff0c;但是大概率你身边一定有它的资深用户&#xff0c;作为 MacPaw 旗下的老牌清理软件&#xff0c;在全球已经拥有超过 2500 万次的下载量。 它有着五大强悍的功能&#xff0c;可以帮…

浅谈智慧消防助力现代社会火灾防控

安科瑞 华楠 摘 要&#xff1a;随着我国经济水平的不断提高&#xff0c;科学技术取得了长足进步。科学技术的进步推动着社会不断前进&#xff0c;改变了各行各业的人们的生活。随着各种新型的技术尤其是人工智能技术的出现&#xff0c;社会进入了智能化时代。消防作为维护我们…

淘宝整店商品如何批量获取?获取淘宝店铺所有商品接口item_search_shop

在竞争日益激烈的电商行业&#xff0c;不少商家出于以下的考虑&#xff0c;想要实现一键批量获取淘宝店铺的所有商品。 竞争分析&#xff1a;通过获取某个店铺内的所有商品信息&#xff0c;可以对竞争对手的产品进行全面的了解和分析。可以了解到对手的产品种类、价格、销量等情…

【福建事业单位-资料分析】01 速算技巧-基期与现期

【福建事业单位-资料分析】01 速算技巧-基期与现期 一、速算技巧&#xff08;基于选项的速算&#xff09;1.1 计算类别——截位直除练习总结 二、速算技巧-比较类-分数比较2.1 一大一小&#xff08;一大/一小&#xff09;2.2 同大同小①分子分母都变大&#xff0c;保留两位直接…

LVS工作环境配置

一、LVS-DR工作模式配置 模拟环境如下&#xff1a; 1台客户机 1台LVS负载调度器 2台web服务器 1、环境部署 &#xff08;1&#xff09;LVS负载调度器 yum install -y ipvsadm # 在LVS负载调度器上进行环境安装 ifconfig ens33:200 192.168.134.200/24 # 配置LVS的VIP…

Idea配置Scala开发环境

1.首先安装scala插件&#xff1a; File--->Setting---->plugins,在输入框中输入scala&#xff0c;然后点击“Install”即可安装scala&#xff0c;需要稍微等待几分钟。 2 创建项目&#xff1a; File ---->new---->project-----Maven--->Next----输入名称(test…

力扣62.不同路径(动态规划)

/*** 一个机器人位于一个 m x n 网格的左上角 &#xff08;起始点在下图中标记为 “Start” &#xff09;。* 机器人每次只能向下或者向右移动一步。机器人试图达到网格的右下角&#xff08;在下图中标记为 “Finish” &#xff09;。* 问总共有多少条不同的路径&#xff1f; *…

Vue2源码分析-环境搭建

安装rollup 项目初始化 npm init -y安装pnpm npm i -g pnpm安装rollup以及相关插件 pnpm i rollup rollup/plugin-babel babel/core babel/preset-env --save-dev在根目录创建rollup.config.js文件&#xff0c;并且配置如下 import babel from "rollup/plugin-babel…

web前端之CSS操作

文章目录 一、CSS操作1.1 html元素的style属性1.2 元素节点的style属性1.3 cssText属性 二、事件2.1 事件处理程序2.1.1 html事件2.1.2 DOM0事件&#xff08;适合单个事件&#xff09;2.1.3 DOM2事件&#xff08;适合多个事件&#xff09; 2.2 事件之鼠标事件2.3 事件之Event事…

Dubbo+Zookeeper使用

说明&#xff1a;Apache Dubbo 是一款 RPC 服务开发框架&#xff0c;用于解决微服务架构下的服务治理与通信问题&#xff0c;官方提供了 Java、Golang 等多语言 SDK 实现。 本文介绍Dubbo的简单使用及一些Dubbo功能特性&#xff0c;注册中心使用的是ZooKeeper&#xff0c;可在…

Idea小操作

Idea操作 idea提取内容构成一个方法 idea提取内容构成一个方法

【MATLAB第65期】基于LSTM长短期记忆网络的多输入单输出数据预测未来思路介绍(短期预测)

【MATLAB第65期】基于LSTM长短期记忆网络的多输入单输出数据预测未来思路介绍&#xff08;短期预测&#xff09; 往期第13期已实现多输入单输出滑动窗口回归预测 多输入单输出滑动窗口回归预测 往期第54期已实现多输入多输出滑动窗口回归预测 多输入多输出滑动窗口回归预测 一…