静态时序分析:SDC约束命令set_case_analysis详解

相关阅读

静态时序分析icon-default.png?t=N7T8https://blog.csdn.net/weixin_45791458/category_12567571.html?spm=1001.2014.3001.5482


目录

指定值

指定端口/引脚列表

简单使用


        set_case_analysis命令用于对电路进行特定模式的设定,例如对于一个工作在正常模式下的芯片,其扫描触发器的测试引脚应该设定为0;对于一个时钟选择器的选择引脚,在特定模式工作时应该为确定值。这个命令很有用,因为它可以帮助减少很多不必要的时序路径分析。

        set_case_analysis指令的BNF范式(有关BNF范式,可以参考以往文章)为:

set_case_analysisvalue port_or_pin_list//注:该命令的port_or_pin_list参数一定要放在value参数后

        该命令可以指定端口或引脚处是恒定的1或0,或者端口或引脚处仅允许上升沿或下降沿。

指定值

        参数value指定了端口或引脚处的固定值或转换方向。对于固定值,它可以是1、0、one或zero。对于转换方向,它可以是rising、falling、rise或fall。

指定端口/引脚列表

        指定一个端口/引脚列表,包含端口对象或引脚对象,如果有多于一个对象,需要使用引号或大括号包围。

简单使用

        本文仅讨论固定值的模式分析,这是多数情况下该命令的使用方式。

        首先我们可以解决静态时序分析:SDC约束命令create_clock详解一文中出现的时序路径混乱问题,原文的图7如本文图1所示,首先在输入端口clk_1和clk_2定义两个时钟。

create_clock -period 10 [get_port clk_1]
create_clock -period 15 [get_port clk_2]

图1 有两个时钟驱动的电路单元

        对于上面的电路,本意是b_reg触发器和c_reg触发器同时受时钟clk_1或时钟clk_2之一触发,但如果不使用set_case_analysis命令,在使用report_timing命令后会出现时钟clk_2和时钟clk_1之间的时序分析报告,如图2、图3所示,我们真正需要的是图4的时序报告(本文如不加说明,默认时序报告为建立时间报告)。

图2 发射时钟是clk_2,捕获时钟时clk_1

图3 发射时钟是clk_2,捕获时钟时clk_1

图4 发射时钟是clk_2,捕获时钟是clk_2

        下面我们使用set_case_analysis命令,固定选择端sel的信号为0,可以使用report_case_analysis命令查看指定的模式分析值,如图5所示。还可以使用report_disable_timing命令查看此时失效的时序弧,如图6所示,可以看到此时选择引脚S0到输出引脚Y的时序弧和输出引脚B到输出引脚Y的时序弧都失效了。此时的时序分析结果如图7和图8所示。

set_case_analysis 0 [get_port sel]

图5 模式分析报告

图6 失效时序弧报告

图7 发射时钟和捕获时钟都是clk_2

图8 发射时钟和捕获时钟都是clk_2

        下面我们使用set_case_analysis命令,固定选择端sel的信号为1,可以使用report_case_analysis命令查看指定的模式分析值,如图9所示,可以看到之前设置的0被更改为了1。还可以使用report_disable_timing命令查看此时失效的时序弧,如图10所示,可以看到此时选择引脚S0到输出引脚Y的时序弧和输出引脚A到输出引脚Y的时序弧都失效了。此时的时序分析结果如图11和图12所示。

set_case_analysis 1 [get_port sel]

图9 模式分析报告

图10 失效时序弧报告

图11 发射时钟和捕获时钟都是clk_1

图12 发射时钟和捕获时钟都是clk_1

        set_case_analysis命令还会导致设定的值沿着时序路径向后传播,从而打断某些时序路径(使这些路径不能出现信号翻转),例如对于图13所示的电路图。

图13 一个简单的例子

        首先在输入端口clk定义一个时钟。

create_clock -period 10 [get_port clk]

         此时如果直接使用report_timing命令,则t_reg和data_out_reg之间的时序路径会正常报告,如图14所示。

图14 两个触发器间的时序报告

        下面使用set_case_analysis命令固定使能信号enable为0,可以使用report_disable_timing命令查看此时失效的时序弧,如图15所示,我们发现,这和图10报告有所差异,按照之前的分析,此时应显示单元U4从输入引脚A和输入引脚B到输出引脚Y的时序弧失效,但图15却显示触发器data_out_reg从时钟引脚CK到输入引脚D的建立时间和保持时间时序弧失效了,这是因为DC检测到此时D引脚的值为固定值0,因此无需检测建立时间和保持时间。触发器t_reg和触发器data_out_reg之间的时序路径消失了(实际上,某点的固定值会使通过该点时序路径直接消失),如图16所示。

set_case_analysis 0 [get_port enable]

图15 失效时序弧报告

图16 两个触发器间的时序路径消失了

        图17所示的例子在与门U4后又添加了一个或门,这样的话,即使与门U1的输出固定为0,也不会传播到触发器data_out_reg的D引脚,此时使用set_case_analysis 0 [get_port enable]命令后的失效时序弧报告如图18所示,可以看到固定值0传播至或门U2输入,并使或门U2的输入到输出的时序弧失效了,这里没有明确给出U1的的输入到输出的时序弧失效,它们被包含在U2时序弧的失效中,报告只会列出传播终点的时序弧失效情况。如果此时使用set_case_analysis 0 [get_port enable_1]命令,则定值0会继续传播至触发器data_out_reg的D引脚,此时的失效时序弧报告就和图15一样了。

图17  定值0不会传播至触发器输入

图18 失效时序弧报告

        在编写Verilog代码时,直接将与门的一个输出引脚固定为0,这也会打断时序路径(假设电路没有被逻辑优化),如图19所示的电路图。

图19 编写代码时指定引脚值为0

        在图19中,我们设法使得某些器件不被优化掉,并构造了一个奇怪的电路图。此时在逻辑上讲,与门的输出是一个固定值0,使用report_disable_timing命令查看此时失效的时序弧,如图20所示,可以看到此时居然和图15的报告一模一样,这代表着DC正确识别了这种情况,并且从Flag栏的c也可以看出,此时DC按照模式分析的规则传播了这个固定值0。此时使用report_timing命令无法看到t_reg和data_out_reg之间的时序路径,如图21所示。

图20 失效时序弧报告

图21 两个触发器间的时序路径消失了

        但是我们再来看一下图22所示的电路图(假设电路没有被逻辑优化),可以看出按照逻辑关系,与门U2的输出是一个固定值0,但是此时使用report_timing命令可以看到t_reg和data_out_reg之间的时序路径,如图23所示。此时使用report_disable_timing命令查看此时失效的时序弧,图24显示此时没有失效的时序弧。这代表了,即使DC会考虑直接指定常数和使用模式分析指定常数时电路的逻辑传播情况,但不会考虑更复杂的情况。

图22 与门U2的输出引脚值为0

图23 两个触发器间的时序报告

图24 失效时序弧报告

        如果此时在编写Verilog代码时又将enable信号固定为1(假设电路没有被逻辑优化),这和使用set_case_analysis固定输入端口enable为1是一样的,如图25所示,则时序路径又会被打断。使用report_disable_timing命令查看此时失效的时序,如图26所示,其中固定值1传播至触发器data_out_reg的输入引脚D,导致触发器data_out_reg从时钟引脚CK到输入引脚D的建立时间和保持时间时序弧失效了,并且固定值1还传播到了U1的1输入端A,这导致了与门U1输入端A到输出端Y的时序弧失效了,但这不会继续传播下去了,因为一个与门输入固定为1并不会使输出也为固定值(但其实这条是多余的,因为触发器data_out_reg从时钟引脚CK到输入引脚D的建立时间和保持时间时序弧都已经失效了)。

图25 编写代码时指定引脚值为0

图26 失效时序弧报告

        最后要注意的是,固定值的传播会在到达时序路径终点而停止,并不会继续传播下去,比如图25中的data_out_reg到输出端口的时序路径并不会因为触发器时钟引脚CK到输入引脚D的时序弧失效而被打断。

        最后做个总结,不管是直接明确使用固定值还是使用模式分析命令set_case_analysis指定固定值,固定值都会沿着逻辑传播(与门的某个输入为固定0,或门的某个输入为固定1,与门的所有输入固定为1,或门的所有输入固定为0,等情况),直到传播终点为止(固定值不能继续传播下去),时序弧失效报告中,只显示传播终点的失效时序弧(因为这也能间接说明传播路径中的时序弧也失效了),如果传播终点是触发器的输入端,则触发器从时钟引脚CK到输入引脚D的时序弧会失效,此时不会进行建立时间和保持时间的检查。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/271691.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

基于机器学习的曲面拟合方法

随着科技的不断发展,机器学习成为了最近最热门的技术之一,也被广泛应用于各个领域。其中,基于机器学习的曲面拟合方法也备受研究者们的关注。曲面拟合是三维模型处理中的重要技术,其目的是用一组数据点拟合出平滑的曲面&#xff0…

Python数据处理实战(4)-上万行log数据提取并作图进阶版

系列文章: 0、基本常用功能及其操作 1,20G文件,分类,放入不同文件,每个单独处理 2,数据的归类并处理 3,txt文件指定的数据处理并可视化作图 4,上万行log数据提取并作图进阶版&a…

STM32(16)使用串口向电脑发送数据

发送字节 发送数组 发送字符和字符串 字符: 字符串: 字符串在电脑中以字符数组的形式存储

访问修饰符、Object(方法,使用、equals)、查看equals底层、final--学习JavaEE的day15

day15 一、访问修饰符 含义: 修饰类、方法、属性,定义使用的范围 理解:给类、方法、属性定义访问权限的关键字 注意: ​ 1.修饰类只能使用public和默认的访问权限 ​ 2.修饰方法和属性可以使用所有的访问权限 访问修饰符本类本包…

干货 | MSC细胞培养 “秘籍”

MSC培养细节,这里有您想知道的~ MSC:间充质干细胞,是一群贴壁生长、形态类似于成纤维细胞的多能成体干细胞,存在于脐带、骨髓和脂肪组织等多种组织中,并且可以分化成多种不同的组 实验数据分享 1、样本:冻…

【比较mybatis、lazy、sqltoy、mybatis-flex、easy-query操作数据】操作批量新增、分页查询(三)

orm框架使用性能比较 比较mybatis、lazy、sqltoy、mybatis-flex、easy-query操作数据 环境: idea jdk17 spring boot 3.0.7 mysql 8.0测试条件常规对象 orm 框架是否支持xml是否支持 Lambda对比版本mybatis☑️☑️3.5.4sqltoy☑️☑️5.2.98lazy✖️☑️1.2.4…

JavaScript极速入门(2)

JQuery W3C标准给我们提供了一系列函数,让我们可以操作: 网页内容 网页结构 网页样式 但是原生的JavaScript提供的API操作DOM元素时,代码比较繁琐,冗长.我们学习使用JQuery来操作页面对象. JQuery是一个快速,简洁且功能丰富的JavaScript框架,于2006年发布.它封装JavaScript常…

乐优商城(八)商品详情

1. 搭建商品详情微服务 当用户搜索到商品后,如果想要了解商品的更多信息,就需要进入商品详情页。 由于商品详情浏览量比较大,所以我们会创建一个微服务,用来展示商品详情。我们的商品详情页会采用 Thymeleaf 模板引擎渲染后&…

【三维重建】相移法+格雷码

本篇文章介绍一种稠密点云的获取方式——条纹结构光三维重建算法。 在学习此算法前,我们需要对基于视觉的三维重建算法有一定了解。 需要了解什么是相机模型、相机标定以及三角化的相关知识。 【三维重建】摄像机几何-CSDN博客 【三维重建】摄像机标定&#xff…

【C++干货基地】六大默认成员函数: This指针 | 构造函数 | 析构函数

🎬 鸽芷咕:个人主页 🔥 个人专栏: 《C干货基地》《粉丝福利》 ⛺️生活的理想,就是为了理想的生活! 引入 哈喽各位铁汁们好啊,我是博主鸽芷咕《C干货基地》是由我的襄阳家乡零食基地有感而发,不知道各位的…

听 GPT 讲 client-go 源代码 (24)

分享更多精彩内容,欢迎关注! File: client-go/applyconfigurations/batch/v1/jobstatus.go 在client-go的applyconfigurations/batch/v1/jobstatus.go文件中,定义了与Job的状态相关的配置和操作。 文件中定义了以下几个结构体: Jo…

Kube-Prometheus 监控Istio

推荐 Istio 多集群监控使用 Prometheus,其主要原因是基于 Prometheus 的分层联邦(Hierarchical Federation)。 通过 Istio 部署到每个集群中的 Prometheus 实例作为初始收集器,然后将数据聚合到网格层次的 Prometheus 实例上。 网…

Kruscal建树+倍增LCA,蓝桥2023省赛,网络稳定性

目录 一、题目 1、题目描述 2、输入输出 2.1输入 2.2输出 3、原题链接 二、解题报告 1、思路分析 2、复杂度 3、代码详解 一、题目 1、题目描述 2、输入输出 2.1输入 2.2输出 3、原题链接 2.网络稳定性 - 蓝桥云课 (lanqiao.cn) 二、解题报告 1、思路分析 考虑到…

自动驾驶预测与决策规划(nuplan数据集)

欢迎大家关注我的B站: 偷吃薯片的Zheng同学的个人空间-偷吃薯片的Zheng同学个人主页-哔哩哔哩视频 (bilibili.com) 目录 1.概述 2 数据采集 3.开环与闭环仿真 4.数据注释 5.场景 6.规划框架 6.1Train 6.2Simulation 6.3Metric 6.4Visualization 7.下载…

【2024.03.05】定时执行专家 V7.1 发布 - TimingExecutor V7.1 Release

目录 ▉ 软件介绍 ▉ 新版本 V7.1 下载地址 ▉ V7.1 新功能 ▼2024-03-03 V7.1 - 更新日志 ▉ V7.0 新UI设计 ▉ 软件介绍 《定时执行专家》是一款制作精良、功能强大、毫秒精度、专业级的定时任务执行软件。软件具有 25 种【任务类型】、12 种【触发器】触发方式&#x…

基于51单片机风速仪风速测量台风预警数码管显示

基于51单片机风速仪风速测量报警数码管显示 1. 主要功能:2. 讲解视频:3. 仿真4. 程序代码5. 设计报告🔗6. 下载链接资料下载链接: 基于51单片机风速仪风速测量报警数码管显示( proteus仿真程序设计报告讲解视频) 仿真图…

CRMCHAT修复获取客户ip信息,地区信息

CRMCHAT修复获取客户ip信息,地区信息-TP源码网原因: 因pv.sohu.com/cityjson?ieutf-8接口已无法正确获取ip信息,导致后台站点统计无法正确获取用户ip信息,无法获取地区信息 修改 注释掉无用接口地址 修复ip信息 也可以使用&…

【Lazy ORM】 小工具 acw 本地客户端 你负责点击页面,他负责输出代码

介绍 wu-smart-acw-client 简称acw-client&#xff0c;是一个基于Lazy ORM定制的客户端代码生成小工具 Lazy ORM 小工具 acw 本地客户端 你负责点击页面&#xff0c;他负责输出代码安装 <dependency><groupId>top.wu2020</groupId><artifactId>wu-sma…

即插即用篇 | YOLOv8 引入 ParNetAttention 注意力机制 | 《NON-DEEP NETWORKS》

论文名称:《NON-DEEP NETWORKS》 论文地址:https://arxiv.org/pdf/2110.07641.pdf 代码地址:https://github.com/imankgoyal/NonDeepNetworks 文章目录 1 原理2 源代码3 添加方式4 模型 yaml 文件template-backbone.yamltemplate-small.yamltemplate-large.yaml

2024蓝桥杯每日一题(前缀和)

一、第一题&#xff1a;壁画 解题思路&#xff1a;前缀和贪心枚举 仔细思考可以发现B值最大的情况是一段连续的长度为n/2上取整的序列的累加和 【Python程序代码】 import math T int(input()) for _ in range(1,1T):n int(input())s input()l math.ceil(len(s)/…