STM32中断和外部中断

 

NVIC:嵌套中断向量控制器:用于统一分配中断优先级和管理中断

响应式优先级:也可以称为插队式优先级哪个优先级高优先处理哪个

抢占式优先级:优先级高的可以优先被处理,相当于CPU可以暂时中断当前处理的程序,优先处理优先级更高的程序,该程序执行完成后再执行原先没有执行完毕的程序,也可以称之为嵌入式中断优先级

AFIO中断引脚选择:是一个中断引脚选择器可以在前面GPIO外设的16个引脚中选择一个连接到EXTI边沿检测及控制中所以

相同的Pin不能同时触发中断,因为PA0,PB0,PC0通过AFIO选择后只有其中一个可以连接到EXTI的通道0上,PB1,PC1等也只有一个可以接入EXTI上

模拟电子技术与或门基础

旋转编码器讲解

旋转编码器的硬件电路

外部中断寄存器代码

1:接线

#include "stm32f10x.h"                  // Device header// 编写初始化函数--->模块化的第一步是编写初始化函数
void CountSersor_Init(void){/*外部中断配置  1: 配置RCC进涉及的外部中断时钟全部打开2:配置GPIO选择端口为输入模式3: 配置AFIO选择需要使用到的GPIO并连接后面的exit4:配置EXTI选择边沿触发的方式如上升沿,下降沿,或者是双边沿,选择触发响应的方式5:配置NVIC给中断选择一个合适的优先级*/}

AFIO相关函数


             用于复位AFIO外设,调用这个函数会清除AFIO外设
             void GPIO_AFIODeInit(void);
             用于锁定GPIO配置调用这个函数参数指定某个引脚,锁定引脚配置防止意外更改
             void GPIO_PinLockConfig(GPIO_TypeDef* GPIOx, uint16_t GPIO_Pin);
             以下的两个函数是用于配置AFIO的事件输出功能
           void GPIO_EventOutputConfig(uint8_t GPIO_PortSource, uint8_t GPIO_PinSource);
           void GPIO_EventOutputCmd(FunctionalState NewState);

             这个函数可以用作引脚重映射,第一个参数是重映射的方式,第二个参数是新的状态
             void GPIO_PinRemapConfig(uint32_t GPIO_Remap, FunctionalState NewState);
          
  这个函数外部中断需要使用到的函数,调用这个函数可以选择我们的中断引脚
       void GPIO_EXTILineConfig(uint8_t GPIO_PortSource, uint8_t GPIO_PinSource);
             和以太网相关外设暂时没有使用到
             void GPIO_ETH_MediaInterfaceConfig(uint32_t GPIO_ETH_MediaInterface);

EXTI相关函数

NVIC配置

编写中断函数代码实现当遮挡传感器时oled显示屏中的数字加1

中断函数代码

#include "stm32f10x.h"                  // Device header
uint16_t CountSensor_Count;// 编写初始化函数--->模块化的第一步是编写初始化函数
void CountSersor_Init(void){//1------>todo : 配置RCC进涉及的外部中断时钟全部打开// 开启GPIOB的时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);// 开启AFIO的时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO,ENABLE);// EXPI和NVIC的时钟一直处于打开状态不需要我们手动开启//2------>todo : 配置GPIO选择端口为输入模式GPIO_InitTypeDef GPIO_InitStructure;// 引出结构体模式GPIO_InitStructure.GPIO_Mode =GPIO_Mode_IPU ; // 配置模式GPIO_InitStructure.GPIO_Pin =GPIO_Pin_14 ;GPIO_InitStructure.GPIO_Speed =GPIO_Speed_50MHz;// 调用GPIO初始化函数,初始GPIOBGPIO_Init(GPIOB,&GPIO_InitStructure);// 3:todo ------->  配置AFIO选择需要使用到的GPIO并连接后面的exitGPIO_EXTILineConfig(GPIO_PortSourceGPIOB, GPIO_PinSource14);// 3:todo ------->配置EXTI选择边沿触发的方式如上升沿,下降沿,或者是双边沿,选择触发响应的方式EXTI_InitTypeDef Exit_InitStruct;// 引出结构体成员Exit_InitStruct.EXTI_Line =EXTI_Line14; // 指定需要配置的中断线Exit_InitStruct.EXTI_LineCmd =ENABLE; // 开启中断Exit_InitStruct.EXTI_Mode = EXTI_Mode_Interrupt; // 模式:中断模式Exit_InitStruct.EXTI_Trigger =EXTI_Trigger_Falling ;// 下降沿触发EXTI_Init(&Exit_InitStruct);// 选择中断分组函数NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);NVIC_InitTypeDef  NVIC_Initstructure;NVIC_Initstructure.NVIC_IRQChannel = EXTI15_10_IRQn;NVIC_Initstructure.NVIC_IRQChannelCmd = ENABLE;NVIC_Initstructure.NVIC_IRQChannelPreemptionPriority = 1;NVIC_Initstructure.NVIC_IRQChannelSubPriority = 1;NVIC_Init(&NVIC_Initstructure);}
uint16_t CountSensor_Get(void){return CountSensor_Count;
}void  EXTI15_10_IRQHandler(void){if(EXTI_GetITStatus(EXTI_Line14) == SET){CountSensor_Count++;// 中断程序结束后一定要清除中断程序标志位函数EXTI_ClearITPendingBit(EXTI_Line14);}
}

中断函数头文件

#ifndef __COUNT_SENSOR_H__
#define __COUNT_SENSOR_H__/*外部中断配置  1: 配置RCC进涉及的外部中断时钟全部打开2:配置GPIO选择端口为输入模式3: 配置AFIO选择需要使用到的GPIO并连接后面的exit4:配置EXTI选择边沿触发的方式如上升沿,下降沿,或者是双边沿,选择触发响应的方式5:配置NVIC给中断选择一个合适的优先级*/
void CountSersor_Init(void);
uint16_t CountSensor_Get(void);#endif

主函数调用代码

#include "stm32f10x.h"                  // Device header
#include "Delay.h"
#include "OLED.h"
#include "CounterSensor.h"int main(void)
{// 初始化oledOLED_Init();CountSersor_Init();// 使用OLED显示字符串OLED_ShowString(1,3,"Count:");while (1){OLED_ShowNum(1, 7, CountSensor_Get(), 5);   }
}

旋转编码器中断

接线

编写中断函数模块部分代码

Encoder.c

#include "stm32f10x.h"                  // Device headerint16_t Encoder_Count;// 编辑初始化函数
void Encoder_Init(void){//1------>todo : 配置RCC进涉及的外部中断时钟全部打开// 开启GPIOB的时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOB,ENABLE);// 开启AFIO的时钟RCC_APB2PeriphClockCmd(RCC_APB2Periph_AFIO,ENABLE);// EXPI和NVIC的时钟一直处于打开状态不需要我们手动开启//2------>todo : 配置GPIO选择端口为输入模式GPIO_InitTypeDef GPIO_InitStructure;// 引出结构体模式GPIO_InitStructure.GPIO_Mode =GPIO_Mode_IPU ; // 配置模式GPIO_InitStructure.GPIO_Pin =GPIO_Pin_0|GPIO_Pin_1;GPIO_InitStructure.GPIO_Speed =GPIO_Speed_50MHz;// 调用GPIO初始化函数,初始GPIOBGPIO_Init(GPIOB,&GPIO_InitStructure);// 3:todo ------->  配置AFIO选择需要使用到的GPIO并连接后面的exitGPIO_EXTILineConfig(GPIO_PortSourceGPIOB, GPIO_PinSource0);GPIO_EXTILineConfig(GPIO_PortSourceGPIOB, GPIO_PinSource1);// 3:todo ------->配置EXTI选择边沿触发的方式如上升沿,下降沿,或者是双边沿,选择触发响应的方式EXTI_InitTypeDef Exit_InitStruct;// 引出结构体成员Exit_InitStruct.EXTI_Line =EXTI_Line0 | EXTI_Line1; // 指定需要配置的中断线Exit_InitStruct.EXTI_LineCmd =ENABLE; // 开启中断Exit_InitStruct.EXTI_Mode = EXTI_Mode_Interrupt; // 模式:中断模式Exit_InitStruct.EXTI_Trigger =EXTI_Trigger_Falling ;// 下降沿触发EXTI_Init(&Exit_InitStruct);// 选择中断分组函数NVIC_PriorityGroupConfig(NVIC_PriorityGroup_2);NVIC_InitTypeDef  NVIC_Initstructure;NVIC_Initstructure.NVIC_IRQChannel = EXTI0_IRQn;NVIC_Initstructure.NVIC_IRQChannelCmd = ENABLE;NVIC_Initstructure.NVIC_IRQChannelPreemptionPriority = 1;NVIC_Initstructure.NVIC_IRQChannelSubPriority = 1;NVIC_Init(&NVIC_Initstructure);NVIC_Initstructure.NVIC_IRQChannel = EXTI1_IRQn;NVIC_Initstructure.NVIC_IRQChannelCmd = ENABLE;NVIC_Initstructure.NVIC_IRQChannelPreemptionPriority = 1;NVIC_Initstructure.NVIC_IRQChannelSubPriority = 2;NVIC_Init(&NVIC_Initstructure);
}//中断函数
void EXTI0_IRQHandler(void){// 检查中断标志位if(EXTI_GetITStatus(EXTI_Line0) ==  SET){// 判断另外一个引脚的电平if(GPIO_ReadInputDataBit(GPIOB,GPIO_Pin_1) == 0){// 向着相反的方向旋转Encoder_Count--;}EXTI_ClearITPendingBit(EXTI_Line0);}
}// 调用函数后返回count的变化值
int16_t Encoder_Get(void){int16_t Temp;Temp = Encoder_Count;Encoder_Count = 0;return Temp;
}void EXTI1_IRQHandler(void){// 检查中断标志位if(EXTI_GetITStatus(EXTI_Line1) ==  SET){// 判断引脚的电平if(GPIO_ReadInputDataBit(GPIOB,GPIO_Pin_0) == 0){// 向着正方向旋转Encoder_Count++;}EXTI_ClearITPendingBit(EXTI_Line1);}
}

Encoder.h代码

#ifndef  __ENCODER_H__
#define  __ENCODER_H__
void Encoder_Init(void);
int16_t Encoder_Get(void);
#endif

main.c调用编写好的中断函数

#include "stm32f10x.h"                  // Device header
#include "Delay.h"
#include "OLED.h"
#include "Encoder.h"int16_t Num;
int main(void)
{// 初始化oledOLED_Init();Encoder_Init();// 使用OLED显示字符串OLED_ShowString(1,1,"Num:");while (1){Num += Encoder_Get();OLED_ShowSignedNum(1,5,Num,5);}
}

注:编写中断函数代码时尽量做到简洁,同时主函数中使用到的功能模块函数不要编写在中断函数中,会出行编译错误,中断程序中避免添加延时函数,中断函数多事件的实时性处理能力较强。

以上是本人基于STM32中断部分知识的学习总结,参考B站江科大教程

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/275587.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

海豚调度系列之:任务类型——SQL节点

海豚调度系列之:任务类型——SQL节点 一、SQL节点二、创建任务三、任务参数四、任务样例五、运行该任务成功之后在hive中查询结果六、使用前置sql和后置sql示例 一、SQL节点 SQL任务类型,用于连接数据库并执行相应SQL。 二、创建任务 点击项目管理-项…

Windows C++ 使用WinAPI实现RPC

demo下载地址:https://download.csdn.net/download/2403_83063732/88958730 1、创建IDL文件以及acf文件(创建helloworld.idl helloworld.acf) 其中IDL文件: import "oaidl.idl"; import "ocidl.idl"; [ …

MySQL的事务隔离是如何实现的?

目录 从一个例子说起 快照读和当前读 事务的启动时机和读视图生成的时刻 MVCC 隐藏字段 Undo Log回滚日志 Read View - 读视图 可重复读(RC)隔离级别下的MVCC 读提交(RR)隔离级别下的MCC 关于MVCC的一些疑问 1.为什么需要 MVCC ?如果没有 MVCC 会怎样&am…

Python学习笔记-Flask实现简单的抽奖程序(增加图片显示)

1.创建static文件夹,存放图片文件 2.hero列表数据更改为要抽奖的图片名 3.html中可以编写python语句,遍历hero列表内容渲染到表格中 4.在点击随机抽取后,可以获得名称,然后使用img标签,将获取的名称拼接到路径中 3.初始页面,访问127.0.0.1:5000/index 4.点击随机抽取后 5.py…

ResNeXt学习笔记

一、更新了block 二、将block中的卷积层分组 注意:对于block层数小于3的,没有太大作用

AIGC实战——GPT(Generative Pre-trained Transformer)

AIGC实战——GPT 0. 前言1. GPT 简介2. 葡萄酒评论数据集3. 注意力机制3.1 查询、键和值3.2 多头注意力3.3 因果掩码 4. Transformer4.1 Transformer 块4.2 位置编码 5. 训练GPT6. GPT 分析6.1 生成文本6.2 注意力分数 小结系列链接 0. 前言 注意力机制能够用于构建先进的文本…

System Verilog的接口、程序块与断言解析

接口、程序块与断言 1 接口 1.1 使用接口简化连接 // 接口 interface arb_if(input bit clk);logic [1:0] grant,request;logic rst; endinterface// 使用了简单接口的仲裁器 module arb (arb_if arbif);...always(posedge arbif.clk or posedge arbif.rst)beginif(arbif.rs…

opencv dnn模块 示例(25) 目标检测 object_detection 之 yolov9

文章目录 1、YOLOv9 介绍2、测试2.1、官方Python测试2.1.1、正确的脚本2.2、Opencv dnn测试2.2.1、导出onnx模型2.2.2、c测试代码 2.3、测试统计 3、自定义数据及训练3.1、准备工作3.2、训练3.3、模型重参数化 1、YOLOv9 介绍 YOLOv9 是 YOLOv7 研究团队推出的最新目标检测网络…

软件测试中的AI-为什么它在软件自动化测试中很重要?

通俗地说,人工智能(AI)是计算机科学的一个领域,它专注于使机器“智能化”。所谓智能,就是使系统能够像人类一样学习和做出决策。因此,人工智能机器将能够学习如何在特定情况下做出反应,然后根据…

【AIGC】重磅消息,GPT-4.5 Turbo将在6月发布?

2024 年 AI 辅助研发趋势 文章目录 强烈推荐GPT-4.5 Turbo竞争对手Anthropic的Claude 3谷歌的Gemini 1.5 Pro 总结强烈推荐专栏集锦写在最后 强烈推荐 前些天发现了一个巨牛的人工智能学习网站,通俗易懂,风趣幽默,忍不住分享一下给大家。点击…

Cplex之新建一个项目并求解

cplex下载:Cplex安装教程与使用介绍-CSDN博客 链接:https://pan.baidu.com/s/1jD9Xved2aWPHtRd-_PLI5Q 提取码:n4og 补充b站上一个教程:快速学懂Cplex软件及其编程方法_哔哩哔哩_bilibili 一、建立并求解一个模型 step1 : 新…

【目标检测经典算法】R-CNN、Fast R-CNN和Faster R-CNN详解系列一:R-CNN图文详解

学习视频:Faster-RCNN理论合集 概念辨析 在目标检测中,proposals和anchors都是用于生成候选区域的概念,但它们在实现上有些许不同。 Anchors(锚框): 锚框是在图像中预定义的一组框,它们通常以…

Python:函数的形参与实参

注意:本文引用自专业人工智能社区Venus AI 更多AI知识请参考原站 ([www.aideeplearning.cn]) 函数基本概念 在Python中,函数是一种将代码封装以进行重复使用的机制。它们允许你定义一段代码,以便在程序的多个位置调…

微信小程序开发系列(二十六)·小程序运行机制(启动、前后台状态、挂起、销毁)和小程序更新机制

目录 1. 小程序运行机制 1.1 启动 1.2 前台和后台状态 1.3 挂起 1.4 销毁 2. 小程序更新机制 1. 小程序运行机制 1.1 启动 小程序启动可以分为两种情况,一种是冷启动,一种是热启动。 冷启动:如果用户首次打开,或小…

OPPO后端二面,凉了!

这篇文章的问题来源于一个读者之前分享的 OPPO 后端凉经,我对比较典型的一些问题进行了分类并给出了详细的参考答案。希望能对正在参加面试的朋友们能够有点帮助! Java String 为什么是不可变的? public final class String implements java.io.Seri…

阿里云服务器安全狗免费使用多引擎智能查杀引擎

云服务器具有按量付费、降低综合成本等诸多优势,受到很多企业的欢迎。 因此,目前使用的云服务器越来越多。 阿里云是目前云服务器中最具影响力的品牌,因此选择阿里云服务器的用户数量也是最多的。 那么阿里云服务器需要安装杀毒软件吗&#x…

Python学习:数据类型转换

数据类型转换 对数据内置的类型进行转换,数据类型的转换,一般情况下你只需要将数据类型作为函数名即可。 Python 数据类型转换可以分为两种: 隐式类型转换 - 自动完成显式类型转换 - 需要使用类型函数来转换 隐式类型转换 Python 会自动…

微信小程序一次性订阅requestSubscribeMessage授权和操作详解

一次性订阅:用户订阅一次发一次通知 一、授权 — requestSubscribeMessage Taro.requestSubscribeMessage({tmplIds: [], // 需要订阅的消息模板的id的集合success (res) {console.log("同意授权", res)},fail(res) {console.log(拒绝授权, res)}})点击或…

2001-2022年上市公司数字化转型程度指数测算数据(含原始数据+测算代码+计算结果)(无形资产衡量)

2001-2022年上市公司数字化转型程度指数测算数据(含原始数据测算代码计算结果) 1、时间:2001-2022年 2、指标:证券代码、证券简称、统计截止日期、是否发生ST或*ST或PT、、是否发生暂停上市、行业代码、行业名称、stkcd、year、…

mediapipe 实现姿态分析——举手检测

目录 人体姿态检测 效果展示 举手检测 行业应用 代码实现 代码分析 效果展示 代码修改,一只手举起即可 总结 啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦^_^啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦啦♪(^∇^*)啦啦啦…