SV学习笔记(一)

SV:SystemVerilog

  • 开启SV之路

数据类型

內建数据类型

  • 四状态与双状态 :

    • 四状态指0、1、X、Z,包括logic、integer、 reg、 wire。
    • 双状态指0、1,包括bit、byte、 shortint、int、longint。
  • 有符号与无符号 :

    • 有符号:byte、shortint、int、longint、integer。
    • 无符号:bit、logic、reg、wire。
  • 关于数据类型使用的几个注意点 :

    • SV中虽然支持reg和wire,但对于 验证平台要尽量使用logic ,并且建议采样RTL信号时变量要使用logic类型。

    • 实际工作中 使用最多的是logic和bit ,一般 需要计数和比较大小时会使用byte或int 。

    • 尽量 避免两种不同数据类型变量进行操作 ,包括 有无符号、四状态双状态、不同位宽 ,如必须进行操作,请先转换为同一类型。

  • 关于数据类型转换的几个注意点 :

    • 四状态转换为双状态时, x和z转换为0 。
    • 多位数据赋值给少位数据,则 高位被截取忽略 ;少位数据赋值给多位数据,双状态类型的 高位赋值为0四状态类型的 高位赋值为x 。(以上指的是无符号类型
    • 有符号变量转无符号变量,直接赋值的话会将 原始比特数据 赋给无符号变量,但其原符号位失去含义;使用转换语句转换的话,会将有符号变量 取模 赋值给无符号变量。
    • 总之,还是尽量避免数据类型间的转换,数据类型间的转换是容易出错的地方,需要格外注意。
  • 数据类型转换操作 :

//静态转换(不对转换值进行检查):
unsigned_data = unsigned'(signed_data);
int_data = int'(real_data);
real_data = real'(int_data);//动态转换(仿真时对转换值进行检查,转换失败会报告):
//$cast(tgt, src);
$cast(unsigned_data, signed_data);//静态和动态转换都属于显示转换,不借助操作符的转换称为隐式转换
logic data0;
bit data1;
data1 = data0;

定宽数组

  • 数组声明 :
//变量左侧为矢量宽度,右侧为维度,且从左至右代表维度从高到低//二维数组(习惯上左右顺序是从低到高,这对初始化很重要)
int data_a[0:15];          // 16个整数[0]...[15]
int data_a[16];            // 紧凑型声明//多维数组(左边为高维度)
int data_a[0:7] [0:3];
int data_a[8] [4];        // 紧凑的多维数组声明
int data_a[7][3] = 1;     // 为最后一个元素赋值
  • 数组的初始化和赋值 :
int data_a[4] = '{0,1,2,3};     //data_a[0]为0 ... data_a[3]为3
int data_a[0:3] = '{0,1,2,3};   //data_a[0]为0 ... data_a[3]为3
int data_a[4] = '{4{1}};        //全赋值为1
int data_a[4] = '{5,default:-1}; //data_a[0]为5,其他为-1
  • 存储空间 :
bit [3][7:0] b_pack;
bit [7:0] b_unpack [3];
logic [3][7:0] b_pack;
logic [7:0] b_unpack [3];//变量左侧代表矢量宽度,右侧代表数组维度,也可以称为数组的合并与非合并,以上都可以代表24bit数据容量。
//二值逻辑bit声明,每bit位占用1bit空间,第一种声明占用1WORD空间,3x8bit,第二种声明占用3个WORD空间,3WORD x 1x8bit;
//四值逻辑logic声明,每bit位占用2bit空间,第一种声明占用2WORD空间,3x16bit,第二种声明占用3WORD空间,3WORD x 1x16bit。
//所以,在合适的时候选用合并数组,能够节省存储空间。(软件仿真时计算机空间占用都是以word为单位的)

  • 数组操作之for和foreach循环 :
bit [31:0] src[5];
bit [31:0] dst[5];for(int i=0; i<$size(src); i++)src[i] = i;foreach (dst[j])dst[j] = src[j] * 2;
//$size(src)是获取最高维度变量个数,也可以指定维度$size(src,1),其中1代表最高,2次之...
//foreach语句会遍历数组变量,这也是最推荐的使用方法,多维度遍历可以使用foreach(dst[i,j])。
  • 数组操作之复制和比较 :
bit [31:0] src[5] = '{0,1,2,3,4};
bit [31:0] dst[5] = '{4,3,2,1,0};
if(src == dst) $display("src == dst"); //比较数组dst = src; //数组复制src[0] = 5; //修改数组中某元素//赋值可以直接使用赋值符号“=”;
//直接进行数组的复制;
//比较,也可以使用“==”或“!=”来比较,不过结果仅限于内容相同或不相同。

动态数组

  • 定宽数组类型宽度编译时已经确定,若在程序运行时确定数组宽度就要使用 动态数组 。
  • 动态数组特点就是仿真运行时灵活调节数组的大小,也就是存储量。
  • 动态数组开始时使用“[]”来声明,此时数组为空,其后使用“new[]”来分配空间,方括号中传递数组宽度。
  • 调用“new[]”时也可以将数组名一并传递,将已有数组的值复制到新的数组中。
int dyn[];
int d2[]; //声明动态数组initial begindyn = new[5]; //分配5个元素foreach(dyn[j]) dyn[j] = j; //对元素初始化d2 = dyn; //复制动态数组,d2初始元素个数为零,复制后元素个数为5,且dyn和d2各自独立d2[0] = 5; //修改元素值,此时dyn[0]仍为0,d2[0]为5dyn = new[20](dyn); //分配20个数值并进行复制,也就是dyn初始值5个元素复制给了新的dyn低5个元素,高15个元素为0.dyn = new[100]; //重新分配100个数值,而旧值不复存在dyn.delete(); //删除所有元素
end

队列

  • 队列结合了链表和数组的优点,可以在任何地方添加和删除元素,并且通过索引实现对任一元素的访问。
  • 队列的声明是使用美元符号的下标:[ ] ,队列元素标号从 0 到 ],队列元素标号从0到 ],队列元素标号从0
  • 队列不需要new[]去创建空间,只需要使用队列的方法为其增减元素,队列初始空间为零。
  • 队列的简单使用是通过 push_back()和pop_front() 的结合来实现FIFO的用法。
int j = 1;
int q2[$] = {3,4};
int q[$] = {0,2,5}; //队列的赋值不需要单引号initial beginq.insert(1, j); //{0,1,2,5} 在1位置插入jq.insert(3, q2); //{0,1,2,3,4,5} 在3位置中插入队列q2q.delete(1); //{0,2,3,4,5} 删除队列中1位置元素//下列操作才是队列中最常用方法q.push_front(6); //{6,0,2,3,4,5} 在队列头部插入j = q.pop_back(); //{6,0,2,3,4} 在队列尾部弹出q.push_back(8); //{6,0,2,3,4,8} 在队列尾部插入j = q.pop_front(); //{0,2,3,4,8} 在队列头部弹出foreach(q[j])$display(q[j]); //打印整个队列q.delete(); //删除整个队列
end

关联数组

  • 如果需要一个超大容量存储空间,而有相当部分数据不会被存储和访问,不管使用定宽数组还是动态数组,都会造成存储的浪费,这时候需要使用关联数组。
  • 关联数组可以保存稀疏矩阵元素,当你对一个非常大的地址空间进行寻址时,该数组 只为写入的元素分配空间 ,所以关联数组需要的空间远小于定宽或动态数组。
  • 此外关联数组的灵活应用,在其他高级语言中都有类似的存储结构,比如Perl语言中称为哈希(Hash),Python中称为词典(Dictionary),可以灵活赋予key和value。

bit [63:0] assoc[int]; //声明关联数组,关联数组中[]内声明数据类型,也就是index类型
int index = 1;repeat(64) begin //对稀疏分布的元素初始化assoc[index] = index;index = index <<1; //1 2 4 8 16 ......
endforeach(assoc[i]) //使用foreach变量关联数组,注意:并不一定按index大小顺序依次执行(可以使用sort排序)$display("assoc[%d] = %h", i, assoc[i]);//找到并删除第一个元素,使用if(assoc.first(index)) 可以判断数组是否为空
assoc.first(index); //将assoc第一个索引值赋给index
assoc.delete(index); //删除assoc的index索引元素

结构体

  • sv中可以使用struct语句创建结构,与c语言类似。
  • sv中struct功能较少,只可以定义一个 数据的集合 ,也就是将若干相关变量组合到一个struct结构定义中。
  • 通过 使用typedef和struct,可以定义新的数据类型 ,可利用新的数据类型声明变量。
//非合并结构
typedef struct {bit[7:0] r;bit[7:0] g;bit[7:0] b;
} pixel_str; //使用typedef和struct创建新的数据类型 pixel_strpixel_str my_pixel; //使用新的数据类型声明变量
my_pixel = '{8'h10, 8'h20, 8'h30}; //结构体赋值,此时赋值与声明时一一对应//合并结构
typedef struct {bit[7:0] r,bit[7:0] g,bit[7:0] b;
} pixel_str; //使用typedef和struct创建新的数据类型 pixel_strpixel_str my_pixel; //使用新的数据类型声明变量
my_pixel = {8'h10, 8'h20, 8'h30}; //结构体赋值,此时赋值与声明时一一对应
  • 关于赋值时什么时候使用单引号:
    • 合并型存储的不需要使用单引号,就好比数据的拼接,队列是合并型存储的。
    • 非合并型存储需要使用单引号,如数组和结构体。

枚举类型

  • 规范的操作码和指令有利于代码的编写和维护,如ADD、WRITE、IDEL等。
  • 枚举类型enum经常 和typedef搭配使用 ,由此便于用户自定义枚举类型的共享使用。
  • 枚举类型 保证避免一些非期望值的出现 ,增加代码可维护性和降低设计风险。
typedef enum {INIT, DECODE, IDLE} fsmstate_e; //声明枚举类型和自定义数据类型
fsmstate_e pstate, nstate; //通过自定义枚举数据类型 声明变量case(pstate) //枚举类型主要应用于状态机IDEL: nstate = INIT;INIT: nstate = DECODE;default: nstate = IDLE;
endcase$display("Next state is %s", nstate.name()); //显示状态名

字符串

  • verilog语言中是不存在字符串的,而sv中添加了字符串string类型。
  • 所有相关的字符串处理,都使用string来保存和处理。
  • 字符串处理相关的格式化函数可以 使用 s f o r m a t f ( ) ,如果只是打印输出,可以直接使用 sformatf() ,如果只是打印输出,可以直接使用 sformatf(),如果只是打印输出,可以直接使用display()。
string s1, s2; //声明字符串,此时为空。
int i1, i2;initial begini1 = 2005;s1.itoa(i); // integer converted to strings2 = "IEEE";$display(s2.tolower()); //显示 ieee (转小写)s2 = {s2, "-P1800"}; //字符串拼接, "IEEE-P1800"s2 = $sformatf("%s%s", s1, "-P1800"); //字符串拼接, "IEEE-P1800"i2 = s2.len(); //获取字符串长度$display("@%t: %s", $time, $sformatf("%s %d", s2, 42)); //$sformatf返回字符串
end

过程块

  • 过程块有两种:initial和always。
  • initial是 不可综合的 ,为验证而生,always是 可综合的 ,代表硬件电路。
  • always是 硬件行为 ,可综合,使用时需要 区分时序电路描述和组合电路描述 。
  • initial是 软件行为 , 块内语句 顺序执行,且只执行一次 。
  • initial块和always块之间,以及不同initial块,不同always块,在 仿真一开始都是同时执行 的。
  • 在verilog时代,所有的测试都放在initial块中,并且为了便于统一管理,建议 放在同一个initial块中 。
  • module、interface可视为 硬件域 ,program、class可视为 软件域 ,区分硬件域和软件域对理解initial和always很有帮助。
  • initial块可以放在module、interface和program中;always块只能放在module、interface中。
  • 对于过程块,使用 begin…end 将其作用域包住,对于控制语句和循环语句,同样适用。

  • module 和 interface:这些是Verilog中用于描述硬件行为的构造。module通常用于描述硬件组件,如处理器、内存或其他功能单元,而interface则用于定义组件之间的通信协议。这些都属于硬件域,因为它们直接对应于硬件电路的行为。

  • program 和 class:这些是SystemVerilog(Verilog的一个超集)中引入的构造,主要用于描述软件行为。program通常用于定义测试程序,而class则用于创建可重用的对象。这些都属于软件域,因为它们更多地关注于描述仿真过程中的控制和数据流。

  • initial块:如上所述,initial块中的代码仅在仿真开始时执行一次。在硬件测试中,initial块通常用于初始化测试环境、生成激励信号、以及检查响应是否符合预期。由于测试通常需要在仿真开始时立即进行,并且只执行一次,因此将测试代码放在initial块中是合适的。

  • always块:与initial块不同,always块中的代码会在仿真期间不断重复执行。**它通常用于描述硬件的周期性行为,如时钟信号、中断处理等。**在软件仿真中,always块也可以用于实现循环和条件逻辑。

举个例子:

  • 硬件域示例
    假设我们有一个简单的Verilog module,描述了一个数字加法器:
module adder(  input [7:0] a, b,  output [7:0] sum  
);  assign sum = a + b;  
endmodule

这个module属于硬件域,因为它直接对应于一个加法器的硬件实现。

  • 软件域示例
    在SystemVerilog中,我们可以使用program和class来编写测试程序:
program test_adder;  adder uut; // 实例化加法器模块  initial begin  // 初始化测试  uut.a = 8'h12;  uut.b = 8'h34;  // 等待一段时间让加法器完成计算  #10;  // 检查结果  if (uut.sum == 8'h46) begin  $display("Test passed!");  end else begin  $display("Test failed!");  end  // 更多测试...  end  
endprogram

在这个例子中,program属于软件域,因为它用于描述测试程序的行为。我们使用initial块来初始化测试环境、生成激励信号,并检查结果是否符合预期。

综上所述,将测试代码放在initial块中,尤其是在Verilog时代,是一种常见的做法,因为它符合测试在仿真开始时立即执行的需求。

而随着SystemVerilog的引入,我们有更多的构造(如program和class)来支持更复杂的软件仿真和测试场景。

方法(函数与任务)

函数function

  • 可以在参数列表指定输入参数(input)、输出参数(output)、输入输出参数(inout)或者引用参数(ref),如果不指明默认为input。
  • 可以有返回值,也可以无返回值(void)。
  • 函数其他属性:
    • 默认数据类型为logic。
    • 数组可以作为形式参数传递。
    • function可以返回或不返回结果,返回结果需要使用关键字return,不返回需要声明为void function。
    • 只有数据变量可以在形式参数列表被声明为ref类型,而线网类型则不能声明为ref类型。
    • 使用ref时,有时为了保护参数对象只被读取不被修改,可以通过const的方式限定ref声明的参数。
    • 在声明参数时,可以设置默认值(input a=10),同时如果在调用时省略参数的传递,则函数中使用默认值。
function int double_f1(input int a);return 2*a;
endfunctionfunction void double_f2(constraint ref int a, ref int b);b = 2*a;
endfunctioninitial beginint a;int b;double_f2(a, b);$display("double of %0d is %0d", 10, double_f1(10));$display("double of %0d is %0d", 10, b);
end

任务task

任务相比函数更加灵活,且有以下不同点:

  • task无法通过return返回结果(也无需加void),只能通过input、output、inout或ref的参数来返回。
  • task内 可以使用耗时语句 ,而function不能。常见的耗时语句如: @event、wait event、#delay 等。
task double_t1(input [31:0] a, output [31:0] b); //不指明方向则默认为inputb = 2*a;
endtasktask double_t2(constraint ref int a, ref int b);b = 2*a;
endfunction

使用建议

  • 初学者傻瓜式用法,可以 全部采用task来定义方法 ,因为它可以内置耗时语句,也可不以内置耗时语句。
  • 经验者要区分两种方法, 非耗时方法使用function,耗时方法使用task ,也就是function中完成纯粹的逻辑运算,而task更多完成需要耗时的信号采样或者驱动等场景。
  • 调用function:在function和task内均可以调用其他function;用task,如果被调用task内使用了耗时语句,只能在task调用。

变量的声明周期

  • sv中数据的生命周期分为 动态(automatic)和静态(static) 。
  • 局部变量 的生命周期与其所在域共存亡,也就是在function/task中的临时变量, 在其被调用结束后,临时变量的生命周期也将终结 。
  • 全局变量 在程序执行 开始到结束一直存在 。
  • 如果数据变量被声明为automatic,那么在进入该进程/方法后,automatic变量会被创建,离开该进程/方法后,automatic变量被销毁。而static在仿真开始时被创建,而在进程/方法执行过程中,不会被销毁,且可以 被多个进程和方法所共享 。
  • module内全部是静态变量,代表真实的电路结构。
    对于automatic方法,其内部所有变量默认也是automatic。
  • 对于static方法,其内部所有变量默认也是static。
  • 对于static变量, 声明时应该对其做初始化 ,而初始化只会伴随它的生命周期执行一次,不会随着方法调用而多次初始化。
  • 在module、program、interface声明的变量,以及其他在task/function之外声明的变量,默认是静态变量,存在于是整个仿真阶段。

设计例化和连接

模块定义

module xprop (
// Outputs
out1,
// Inputs
clk, sel, din0
);
input       clk;
input       sel;
input [7:0] din0;output      out1;//......
//......endmodule

模块例化

module testbench;
//......
//......xprop dut(// Outputs.out1                     (out1),// Inputs.clk                      (clk),.sel                      (sel),.din0                     (din0));
endmodule

模块连接

模块连接就是将硬件电路在测试平台进行例化,传统的verilog验证方法,在initial过程块产生激励,驱动硬件电路完成仿真。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/295046.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

使用 FinalShell 进行远程连接(ssh 远程连接 Linux 服务器)

目录 前言 基本使用教程 新建远程连接 连接主机 自定义命令 路由追踪 前言 后端开发&#xff0c;必然需要和服务器打交道&#xff0c;部署应用&#xff0c;排查问题&#xff0c;查看运行日志等等。一般服务器都是集中部署在机房中&#xff0c;也有一些直接是云服务器&am…

UGUI 进阶

UI事件监听接口 目前所有的控件都只提供了常用的事件监听列表 如果想做一些类似长按&#xff0c;双击&#xff0c;拖拽等功能是无法制作的 或者想让Image和Text&#xff0c;RawImage三大基础控件能够响应玩家输入也是无法制作的 而事件接口就是用来处理类似问题 让所有控件都…

【MySQL系列】使用 ALTER TABLE 语句修改表结构的方法

&#x1f49d;&#x1f49d;&#x1f49d;欢迎来到我的博客&#xff0c;很高兴能够在这里和您见面&#xff01;希望您在这里可以感受到一份轻松愉快的氛围&#xff0c;不仅可以获得有趣的内容和知识&#xff0c;也可以畅所欲言、分享您的想法和见解。 推荐:kwan 的首页,持续学…

图的应用试题

01&#xff0e;任何一个无向连通图的最小生成树( )。 A.有一棵或多棵 B.只有一棵 C.一定有多棵 D.可能不存在 02.用Prim算法和Kruskal算法构造图的最小生成树&#xff0c;…

2024/4/2 IOday4

使用文件IO 实现父进程向子进程发送信息&#xff0c;并总结中间可能出现的各种问题 #include <stdio.h> #include <string.h> #include <stdlib.h> #include <sys/types.h> #include <sys/stat.h> #include <fcntl.h> #include <unistd…

【从零开始】自建高质量免费ip代理池(截止2024.4.1最新版)

文章目录 前言基础常识代理服务器状态码端口号 常见免费ip代理池网站实现思路代码实现main.pyutils.pydemo.py 结果如下 前言 为了防止ip被封后还能爬取网页&#xff0c;最常见的方法就是自己构建一个ip代理池。 本来用的是下面这个开源项目ip代理池&#xff0c; github开源项…

InternLM

任务一 运行1.8B模型&#xff0c;并对话 User >>> 请创作一个 300 字的小故事 在一片茂密的森林里&#xff0c;住着一只小松鼠&#xff0c;它的名字叫做小雪。小雪非常活泼好动&#xff0c;经常在树上跳跃玩耍。有一天&#xff0c;小雪发现了一个神秘的洞穴&#xf…

主干网络篇 | YOLOv8改进之用RCS-OSA替换C2f(来源于RCS-YOLO)

前言:Hello大家好,我是小哥谈。RCS-YOLO是一种目标检测算法,它是基于YOLOv3算法的改进版本。通过查看RCS-YOLO的整体架构可知,其中包括RCS-OSA模块。RCS-OSA模块在模型中用于堆叠RCS模块,以确保特征的复用并加强不同层之间的信息流动。本文就给大家详细介绍如何将RCS-YOLO…

Crossmanager 2024 64 bit(CAD文件格式转换工具)安装包分享

新增功能 1、NavisWorks输入&#xff1a;首次发布&#xff0c;支持2016至2023版本 2、Fusion 360输入&#xff1a;首次发布&#xff0c;支持版本2.0 3、Catia V6/3D体验输入&#xff1a;支持R2023x版本 4、Solidworks输入&#xff1a;支持Solidworks 2023版本 5、Solid Ed…

加密/ 解密 PDF:使用Python为PDF文档设置、移除密码

在数字化时代&#xff0c;文档的安全性变得越来越重要。特别是对于包含敏感信息的PDF文件&#xff0c;确保其不被未经授权的人员访问或修改是至关重要的。本文将介绍如何使用Python在PDF文档中设置密码&#xff0c;以及如何移除已经设置的密码。 目录 PDF加密基础知识 Pytho…

应用层的http和https协议

HTTP和HTTPS http和https是什么&#xff1f;http 常用的协议版本http/1.0http/1.1改进http/2.0 改进 http 和https有什么区别&#xff1f; http和https是什么&#xff1f; HTTP&#xff08;超文本传输协议&#xff09;是一种用于在网络上传输超文本数据的协议。它是一种客户端-…

考研数学|《1800》+《660》精华搭配混合用(经验分享)

肯定不行&#xff0c;考研数学哪有这么容易的&#xff01; 先说说这两本习题册&#xff0c;李永乐老师推出的新版660题&#xff0c;相较于18年前的版本&#xff0c;难度略有降低&#xff0c;更加适合初学者。因此&#xff0c;对于处于基础阶段的学习者来说&#xff0c;新版660…

ssm017网上花店设计+vue

网上花店的设计与实现 摘 要 网络技术和计算机技术发展至今&#xff0c;已经拥有了深厚的理论基础&#xff0c;并在现实中进行了充分运用&#xff0c;尤其是基于计算机运行的软件更是受到各界的关注。加上现在人们已经步入信息时代&#xff0c;所以对于信息的宣传和管理就很关…

用户体验:探讨Facebook如何优化用户体验

在数字化时代&#xff0c;用户体验是社交媒体平台成功与否的关键因素之一。作为全球最大的社交媒体平台之一&#xff0c;Facebook一直在努力优化用户体验&#xff0c;从功能设计到内容呈现再到隐私保护&#xff0c;不断提升用户满意度。本文将深入探讨Facebook如何优化用户体验…

【与C++的邂逅】---- 函数重载与引用

关注小庄 顿顿解馋(▿) 喜欢的小伙伴可以多多支持小庄的文章哦 &#x1f4d2; 数据结构 &#x1f4d2; C 引言 : 上一篇博客我们了解了C入门语法的一部分&#xff0c;今天我们来了解函数重载&#xff0c;引用的技术&#xff0c;请放心食用 ~ 文章目录 一. &#x1f3e0; 函数重…

获取用户位置数据,IP定位离线库助您洞悉消费者需求

获取用户位置数据是现代互联网应用中非常重要的一环。通过获取用户的位置数据&#xff0c;可以了解用户所在的地理位置&#xff0c;从而更好地为用户提供个性化的服务和推荐。而IP归属地离线库就是一种非常有用的工具&#xff0c;可以帮助企业准确地获取用户的位置信息。 IP归…

【Entity Framework】EF中DbSet类详解

【Entity Framework】EF中DbSet类详解 文章目录 【Entity Framework】EF中DbSet类详解一、概述二、定义DbSet2.1 具有DbSet属性的DbContext2.2 具有 IDbSet 属性的 DbContext 2.3 具有 IDbSet 属性的 DbContext三、DbSet属性四、DbSet方法五、DbContext动态生成DbSet 一、概述 …

后端基础篇- 社区 IDEA 手动 Maven 创建 SpringBoot 项目、Maven 安装与配置环境变量、IDEA 集成 Maven

&#x1f525;博客主页&#xff1a; 【小扳_-CSDN博客】 ❤感谢大家点赞&#x1f44d;收藏⭐评论✍ 文章目录 1.0 Maven 安装与配置环境变量 1.1 下载并解压安装包 1.2 配置本地仓库 1.3 配置阿里云私服 1.4 配置环境变量 2.0 IDEA 集成 Maven 2.1 首先创建一个新项目 2.2 开始…

二维相位解包理论算法和软件【全文翻译-二维相位解缠的离散形式 (2.5)】

我们已经指出,二维相位解包相当于在覆盖相关领域的路径上对相位梯度进行积分。在实践中,我们当然必须处理采样数据。然而,为了做到这一点,我们必须定义离散域中的二维相位解包问题,并明确本书中将会用到的相关术语。 从最一般、限制最少的意义上讲,二维相位解包是一个不…

121314饿

c语言中的小小白-CSDN博客c语言中的小小白关注算法,c,c语言,贪心算法,链表,mysql,动态规划,后端,线性回归,数据结构,排序算法领域.https://blog.csdn.net/bhbcdxb123?spm1001.2014.3001.5343 给大家分享一句我很喜欢我话&#xff1a; 知不足而奋进&#xff0c;望远山而前行&am…