Aurora8b10b(1)IP核介绍并基于IP核进行设计

文章目录

  • 前言
  • 一、IP核设置
  • 二、基于IP核进行设计
    • 2.1、设计框图
    • 2.2、aurora_8b10b_0模块
    • 2.3、aurora_8b10b_0_CLOCK_MODULE
    • 2.4、aurora_8b10b_0_SUPPORT_RESET_LOGIC
    • 2.5、aurora8b10b_channel模块
    • 2.6、IBUFDS_GTE2模块
    • 2.7、aurora_8b10b_0_gt_common_wrapper模块
    • 2.8、aurora8b10b_module模块
  • 总结

前言

参考xilinx文档pg046
Aurora 是一个用于在点对点串行链路间移动数据的可扩展轻量级链路层协议。这为物理层提供透明接口,让专有协议或业界标准协议上层能方便地使用高速收发器。虽然使用的逻辑资源非常少,但 Aurora 能提供低延迟高带宽和高度可配置的特性集。在 Xilinx FPGA 上使用是免费的,而且在 ASIC 上能以名义成本通过单独的许可证协议得到支持。
主要特性:

  • 高带宽,仅受限于收发器的数据速率
  • 支持大量键合线路,实现较高的总带宽
  • 支持全双工和单工通道
  • 无限帧尺寸/灵活组帧
  • 小型逻辑封装,采用标准的 AXI-ST 接口。
  • 内置流程控制和热插拔支持

Aurora 广泛用于需要背板、电路板间和芯片间连接的应用。细分市场包括有线通信、存储、服务器、测试测量、工业、消费和医疗等。此外,Aurora 也可用作嵌入式处理器应用中的调试端口。
在这里插入图片描述

一、IP核设置

IP核配置很简单,相当于只有第一页。
Lane WIDTH:用户侧数据位宽
Line Rate:线速率
GT Refclk :Aurora IP核是基于GT作为物理层实现的,所以时钟GT Refclk就是GT的参考时钟。GT的时钟参考只能使用外部的差分时钟,所以具体的值取决你板卡的硬件设计。
INIT CLK :初始化阶段的时钟 ,在上电初始化阶段,可以使用该时钟来驱动一些逻辑。
DRP CLK : DRP时钟,动态重配置,一般不用。(通常一个内部模块需要进行配置,使用两种方法:端口控制和配置参数控制。一个常见的应用就是线速率切换。这时候就需要利用DRP端口来调整部分参数的值,然后复位GTX,使GTX工作在不同的线速率下。)。
​ 上面这三个时钟,是IP核工作所需要的时钟,也是我们需要提供给IP核的。此外,还有一个时钟是IP核提供给我们的:user_clk。这个时钟,是IP核根据设置的线速率及Lane的位宽计算出来的用户时钟,用户需要传输的数据必须是该时钟域下的数据,否则会存在亚稳态风险。
Link Layer:选择工作模式(一般为全双工)、数据帧接口或者是流接口(一般使用帧接口,也就是AXIS),流控
在这里插入图片描述
第二页则是选择通道Lane数目以及位置,第三页选择共享逻辑位置。根据自己需求进行选择。

二、基于IP核进行设计

基于example design进行设计,许多模块也是从其中获取,然后进行简单的修改以方便我们使用。

2.1、设计框图

在这里插入图片描述

2.2、aurora_8b10b_0模块

该模块即为例化aurora8b10b IP核。如果看过之前的基于GTX IP核的设计,这些接口应该比较熟悉了。具体含义查看xilinx手册pg046

aurora_8b10b_0 aurora_8b10b_0_u0 (.s_axi_tx_tdata               (s_axi_tx_tdata       ),.s_axi_tx_tkeep               (s_axi_tx_tkeep       ),.s_axi_tx_tlast               (s_axi_tx_tlast       ),.s_axi_tx_tvalid              (s_axi_tx_tvalid      ),.s_axi_tx_tready              (s_axi_tx_tready      ),.m_axi_rx_tdata               (m_axi_rx_tdata       ),.m_axi_rx_tkeep               (m_axi_rx_tkeep       ),.m_axi_rx_tlast               (m_axi_rx_tlast       ),.m_axi_rx_tvalid              (m_axi_rx_tvalid      ),.hard_err                     (o_hard_err           ),.soft_err                     (o_soft_err           ),.frame_err                    (o_frame_err          ),.channel_up                   (o_channel_up         ),.lane_up                      (o_lane_up            ),.txp                          (gt_txp               ),.txn                          (gt_txn               ),.reset                        (w_system_rst         ),.gt_reset                     (w_gt_reset           ),.loopback                     (i_loopback           ),.rxp                          (gt_rxp               ),.rxn                          (gt_rxn               ),.drpclk_in                    (i_clk_100M           ),.drpaddr_in                   (0                    ),.drpen_in                     (0                    ),.drpdi_in                     (0                    ),.drprdy_out                   (                     ),.drpdo_out                    (                     ),.drpwe_in                     (0                    ),.power_down                   (0                    ),.tx_lock                      (w_gt_clk_locked      ),.tx_resetdone_out             (),.rx_resetdone_out             (),.link_reset_out               (),.gt0_qplllock_in              (gt0_qplllock_in        ),.gt0_qpllrefclklost_in        (gt0_qpllrefclklost_in  ),.gt0_qpllreset_out            (gt0_qpllreset_out      ),.gt_qpllclk_quad3_in          (gt_qpllclk_quad3_in    ),.gt_qpllrefclk_quad3_in       (gt_qpllrefclk_quad3_in ),.init_clk_in                  (i_clk_100M             ),.pll_not_locked               (w_pll_not_locked       ),.tx_out_clk                   (w_tx_out_clk           ),.sys_reset_out                (w_sys_reset_out        ),.user_clk                     (w_user_clk             ),.sync_clk                     (w_sycn_clk             ),.gt_refclk1                   (i_gtref_clk            ) 
);

2.3、aurora_8b10b_0_CLOCK_MODULE

该模块主要作用是根据GT的TXOUTCLK(此处为tx_out_clk)产生w_user_clkw_sync_clkw_user_clk是提供给用户逻辑的,也就是TXUSRCLK2w_sync_clk 则是作为TXUSRCLK 。以下是手册当中的介绍,很清楚了已经(前提是要看GT的介绍):

user_clk和sync_clk是由tx_out_clk驱动的PLL或BUFG的输出。这些时钟生成在_clock_module文件中可用。user_clk作为txusrclk2输入信号传递给收发器。sync_clk作为txusrclk输入信号传递给收发器

此处对于该模块进行了简单的修改,将INIT_CLK的差分转单端的过程放到了外部顶层模块。(忘了在哪里看到的一句话,说过最好在顶层模块使用clock_wizard)

module aurora_8b10b_0_CLOCK_MODULE
(// INIT_CLK_P,// INIT_CLK_N,// INIT_CLK_O,GT_CLK,GT_CLK_LOCKED,USER_CLK,SYNC_CLK,PLL_NOT_LOCKED
);//***********************************Port Declarations*******************************// input              INIT_CLK_P;// input              INIT_CLK_N;// output             INIT_CLK_O;input       GT_CLK;input       GT_CLK_LOCKED;output      USER_CLK;output      SYNC_CLK;output      PLL_NOT_LOCKED;//wire INIT_CLK_I;//*********************************Main Body of Code**********************************// Input buffering//------------------------------------BUFG user_clk_buf_i(.I(GT_CLK),.O(USER_CLK));assign SYNC_CLK = USER_CLK;  assign PLL_NOT_LOCKED  =   !GT_CLK_LOCKED;// Assign an IBUFDS to INIT_CLK
//   IBUFDS init_clk_ibufg_i
//   (
//    .I(INIT_CLK_P),
//    .IB(INIT_CLK_N),
//    .O(INIT_CLK_I)
//   );BUFG init_clk_buf_i(.I(INIT_CLK_I),.O(INIT_CLK_O));endmodule

2.4、aurora_8b10b_0_SUPPORT_RESET_LOGIC

该模块用于产生复位信号resetgt_reset。根据手册内容,我们可以看到,reset是针对于user_clk时钟域的,gt_reset是针对于init_clk时钟域的。那么代码内容就很好理解了,就是简单的跨时钟处理,将异步复位信号同步到相应的时钟域下。
具体的复位过程有兴趣可以看看手册里面的介绍,我们使用IP核的时候直接关注channel_up信号就可以了。
在这里插入图片描述

module aurora_8b10b_0_SUPPORT_RESET_LOGIC
(// User IORESET,USER_CLK, INIT_CLK_IN,GT_RESET_IN,SYSTEM_RESET,GT_RESET_OUT
);`define DLY #1
//***********************************Port Declarations*******************************// User I/O
input              RESET;
input              USER_CLK;
input              INIT_CLK_IN;
input              GT_RESET_IN;
output             SYSTEM_RESET;
output             GT_RESET_OUT;//**************************Internal Register Declarations****************************
(* ASYNC_REG = "true" *) (* shift_extract = "{no}" *) reg     [0:3]      debounce_gt_rst_r = 4'd0;
reg     [0:3]      reset_debounce_r = 4'd0;
reg                reset_debounce_r2 = 1'b1;
reg                gt_rst_r; //********************************Wire Declarations**********************************
wire    gt_rst_sync;      wire               SYSTEM_RESET;//*********************************Main Body of Code**********************************//Reset sync from INIT_CLK to USER_CLKaurora_8b10b_0_cdc_sync_exdes#(.c_cdc_type      (1             ),   .c_flop_input    (1             ),  .c_reset_state   (0             ),  .c_single_bit    (1             ),  .c_vector_width  (2             ),  .c_mtbf_stages   (5              )  )gt_rst_r_cdc_sync (.prmry_aclk      (INIT_CLK_IN         ),.prmry_rst_n     (1'b1                ),.prmry_in        (gt_rst_r            ),.prmry_vect_in   (2'd0                ),.scndry_aclk     (USER_CLK            ),.scndry_rst_n    (1'b1                ),.prmry_ack       (                    ),.scndry_out      (gt_rst_sync         ),.scndry_vect_out (                    ) );//_________________Debounce the Reset and PMA init signal___________________________
// Simple Debouncer for Reset button. The debouncer has an
// asynchronous reset tied to GT_RESET_IN. This is primarily for simulation, to ensure
// that unknown values are not driven into the reset linealways @(posedge USER_CLK or posedge gt_rst_sync)if(gt_rst_sync)reset_debounce_r    <=  4'b1111;   elsereset_debounce_r    <=  {RESET,reset_debounce_r[0:2]};always @ (posedge USER_CLK)reset_debounce_r2 <= &reset_debounce_r;assign SYSTEM_RESET = reset_debounce_r2;// Debounce the GT_RESET_IN signal using the INIT_CLKalways @(posedge INIT_CLK_IN)debounce_gt_rst_r <=  {GT_RESET_IN,debounce_gt_rst_r[0:2]};always @(posedge INIT_CLK_IN)gt_rst_r        <=   &debounce_gt_rst_r;assign  GT_RESET_OUT    =   gt_rst_r;endmodule

2.5、aurora8b10b_channel模块

该模块就是例化上述三个模块,讲一下关键接口给到上层。看一看接口信号即可:

module aurora8b10b_channel(input               i_clk_100M              ,input               i_rst                   ,input               i_gtref_clk             ,output              gt_txp                  ,output              gt_txn                  ,input               gt_rxp                  ,input               gt_rxn                  ,input  [31:0]       s_axi_tx_tdata          ,input  [3 :0]       s_axi_tx_tkeep          ,input               s_axi_tx_tlast          ,input               s_axi_tx_tvalid         ,output              s_axi_tx_tready         ,output [31:0]       m_axi_rx_tdata          ,output [3 :0]       m_axi_rx_tkeep          ,output              m_axi_rx_tlast          ,output              m_axi_rx_tvalid         ,output              o_hard_err              ,output              o_soft_err              ,output              o_frame_err             ,output              o_channel_up            ,output              o_lane_up               ,input  [2 :0]       i_loopback              ,output              o_user_clk              ,output              o_user_rst              ,input               gt0_qplllock_in         ,input               gt0_qpllrefclklost_in   ,output              gt0_qpllreset_out       ,input               gt_qpllclk_quad3_in     ,input               gt_qpllrefclk_quad3_in  
);

2.6、IBUFDS_GTE2模块

这已经是老朋友了,GT的外部输入参考时钟原语。

IBUFDS_GTE2 #(.CLKCM_CFG                  ("TRUE"                 ),.CLKRCV_TRST                ("TRUE"                 ),.CLKSWING_CFG               (2'b11                  )  
)                   
IBUFDS_GTE2_inst (                  .O                          (gt_ref_clk             ),         .ODIV2                      (                       ), .CEB                        (0                      ),     .I                          (i_gtref_clk_p          ),         .IB                         (i_gtref_clk_n          )        
);

2.7、aurora_8b10b_0_gt_common_wrapper模块

一样是老朋友了,里面包含了QPLL原语GTXE2_COMMON。不过我们选择的线速率只有6.25Gbps,CPLL就可以处理了,QPLL其实压根没用到,走完整流程吧。这里的i_clk_100M时钟就是当时在配IP时候的INIT_CLK,我选择的是100M。

:将该模块放到这里是因为方便我们在aurora8b10b_module当中可以例化多个aurora8b10b_channel,老生常谈了,因为一个QUAD只有一个QPLL。同样注意一个问题,那就是gt_qpllreset_out信号选择一个aurora8b10b_channel的复位信号就行。

aurora_8b10b_0_gt_common_wrapper gt_common_support_u0
(.gt_qpllclk_quad3_i         (gt_qpllclk_quad3_in    ),.gt_qpllrefclk_quad3_i      (gt_qpllrefclk_quad3_in ),.gt0_gtrefclk0_common_in    (gt_ref_clk             ),.gt0_qplllock_out           (gt_qplllock_in         ),.gt0_qplllockdetclk_in      (i_clk_100M             ),.gt0_qpllrefclklost_out     (gt_qpllrefclklost_in   ),.gt0_qpllreset_in           (gt_qpllreset_out       )
);

2.8、aurora8b10b_module模块

在该模块当中我们可以例化多个aurora8b10b_channel模块,就和之前使用GT是一样一样的。以下为例化俩个通道的代码。

module aurora8b10b_module(input           i_gtref_clk_p               ,input           i_gtref_clk_n               ,input           i_clk_100M                  ,input           i_rst                       ,output [1 :0]   gt_txp                      ,output [1 :0]   gt_txn                      ,input  [1 :0]   gt_rxp                      ,input  [1 :0]   gt_rxn                      ,input  [31:0]   s_axi_c0_tx_tdata           ,input  [3 :0]   s_axi_c0_tx_tkeep           ,input           s_axi_c0_tx_tlast           ,input           s_axi_c0_tx_tvalid          ,output          s_axi_c0_tx_tready          ,output [31:0]   m_axi_c0_rx_tdata           ,output [3 :0]   m_axi_c0_rx_tkeep           ,output          m_axi_c0_rx_tlast           ,output          m_axi_c0_rx_tvalid          ,input  [31:0]   s_axi_c1_tx_tdata           ,input  [3 :0]   s_axi_c1_tx_tkeep           ,input           s_axi_c1_tx_tlast           ,input           s_axi_c1_tx_tvalid          ,output          s_axi_c1_tx_tready          ,output [31:0]   m_axi_c1_rx_tdata           ,output [3 :0]   m_axi_c1_rx_tkeep           ,output          m_axi_c1_rx_tlast           ,output          m_axi_c1_rx_tvalid          ,output          o_c0_hard_err               ,output          o_c0_soft_err               ,output          o_c0_frame_err              ,output          o_c0_channel_up             ,output          o_c0_lane_up                ,input  [2 :0]   i_c0_loopback               ,output          o_c1_hard_err               ,output          o_c1_soft_err               ,output          o_c1_frame_err              ,output          o_c1_channel_up             ,output          o_c1_lane_up                ,input  [2 :0]   i_c1_loopback               ,output          o_c0_user_clk               ,output          o_c0_user_rst               ,output          o_c1_user_clk               ,output          o_c1_user_rst               
);wire                gt_ref_clk                  ;
wire                gt_qplllock_in              ;
wire                gt_qpllrefclklost_in        ;
wire                gt_qpllreset_out            ;
wire                gt_qpllclk_quad3_in         ;
wire                gt_qpllrefclk_quad3_in      ; IBUFDS_GTE2 #(.CLKCM_CFG                  ("TRUE"                 ),.CLKRCV_TRST                ("TRUE"                 ),.CLKSWING_CFG               (2'b11                  )  
)                   
IBUFDS_GTE2_inst (                  .O                          (gt_ref_clk             ),         .ODIV2                      (                       ), .CEB                        (0                      ),     .I                          (i_gtref_clk_p          ),         .IB                         (i_gtref_clk_n          )        
);aurora_8b10b_0_gt_common_wrapper gt_common_support_u0
(.gt_qpllclk_quad3_i         (gt_qpllclk_quad3_in    ),.gt_qpllrefclk_quad3_i      (gt_qpllrefclk_quad3_in ),.gt0_gtrefclk0_common_in    (gt_ref_clk             ),.gt0_qplllock_out           (gt_qplllock_in         ),.gt0_qplllockdetclk_in      (i_clk_100M             ),.gt0_qpllrefclklost_out     (gt_qpllrefclklost_in   ),.gt0_qpllreset_in           (gt_qpllreset_out       )
);aurora8b10b_channel aurora_channel_u0(.i_clk_100M                 (i_clk_100M             ),.i_rst                      (i_rst                  ),.i_gtref_clk                (gt_ref_clk             ),         .gt_txp                     (gt_txp[0]              ),.gt_txn                     (gt_txn[0]              ),.gt_rxp                     (gt_rxp[0]              ),.gt_rxn                     (gt_rxn[0]              ),.s_axi_tx_tdata             (s_axi_c0_tx_tdata      ),.s_axi_tx_tkeep             (s_axi_c0_tx_tkeep      ),.s_axi_tx_tlast             (s_axi_c0_tx_tlast      ),.s_axi_tx_tvalid            (s_axi_c0_tx_tvalid     ),.s_axi_tx_tready            (s_axi_c0_tx_tready     ),.m_axi_rx_tdata             (m_axi_c0_rx_tdata      ),.m_axi_rx_tkeep             (m_axi_c0_rx_tkeep      ),.m_axi_rx_tlast             (m_axi_c0_rx_tlast      ),.m_axi_rx_tvalid            (m_axi_c0_rx_tvalid     ),.o_hard_err                 (o_c0_hard_err          ),.o_soft_err                 (o_c0_soft_err          ),.o_frame_err                (o_c0_frame_err         ),.o_channel_up               (o_c0_channel_up        ),.o_lane_up                  (o_c0_lane_up           ),.i_loopback                 (i_c0_loopback          ),.o_user_clk                 (o_c0_user_clk          ),.o_user_rst                 (o_c0_user_rst          ),.gt0_qplllock_in            (gt_qplllock_in         ),.gt0_qpllrefclklost_in      (gt_qpllrefclklost_in   ),.gt0_qpllreset_out          (gt_qpllreset_out       ),.gt_qpllclk_quad3_in        (gt_qpllclk_quad3_in    ),.gt_qpllrefclk_quad3_in     (gt_qpllrefclk_quad3_in )
);aurora8b10b_channel aurora_channel_u1(.i_clk_100M                 (i_clk_100M             ),.i_rst                      (i_rst                  ),.i_gtref_clk                (gt_ref_clk             ),.gt_txp                     (gt_txp[1]              ),.gt_txn                     (gt_txn[1]              ),.gt_rxp                     (gt_rxp[1]              ),.gt_rxn                     (gt_rxn[1]              ),.s_axi_tx_tdata             (s_axi_c1_tx_tdata      ),.s_axi_tx_tkeep             (s_axi_c1_tx_tkeep      ),.s_axi_tx_tlast             (s_axi_c1_tx_tlast      ),.s_axi_tx_tvalid            (s_axi_c1_tx_tvalid     ),.s_axi_tx_tready            (s_axi_c1_tx_tready     ),.m_axi_rx_tdata             (m_axi_c1_rx_tdata      ),.m_axi_rx_tkeep             (m_axi_c1_rx_tkeep      ),.m_axi_rx_tlast             (m_axi_c1_rx_tlast      ),.m_axi_rx_tvalid            (m_axi_c1_rx_tvalid     ),.o_hard_err                 (o_c1_hard_err          ),.o_soft_err                 (o_c1_soft_err          ),.o_frame_err                (o_c1_frame_err         ),.o_channel_up               (o_c1_channel_up        ),.o_lane_up                  (o_c1_lane_up           ),.i_loopback                 (i_c1_loopback          ),.o_user_clk                 (o_c1_user_clk          ),.o_user_rst                 (o_c1_user_rst          ),.gt0_qplllock_in            (gt_qplllock_in         ),.gt0_qpllrefclklost_in      (gt_qpllrefclklost_in   ),.gt0_qpllreset_out          (                       ),.gt_qpllclk_quad3_in        (gt_qpllclk_quad3_in    ),.gt_qpllrefclk_quad3_in     (gt_qpllrefclk_quad3_in )
);endmodule

总结

至此工作就已经准备差不多了,编写相应的用户数据产生模块,我们已经可以直接使用aurora了。下文进行介绍。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/298669.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Meta Pixel:助你实现高效地Facebook广告追踪

Meta Pixel 像素代码是用來衡量Facebook广告效果的一个官方数据工具&#xff0c;只要商家有在Facebook上投放广告就需要串联Meta Pixel 像素代码来查看相关数据。 它本质上是一段 JavaScript 代码&#xff0c;安装后可以让用户在自己网站上查看到访客活动。它的工作原理是加载…

Leetcode 64. 最小路径和

心路历程&#xff1a; 第一反应像是一个回溯问题&#xff0c;但是看到题目中要求最值&#xff0c;大概率是一道DP问题。并且这里面的递推关系也很明显。 这里面边界条件可以有多种处理方法。 解法&#xff1a;动态规划 class Solution:def minPathSum(self, grid: List[List…

axios 封装 http 请求详解

前言 Axios 是一个基于 Promise 的 HTTP 库&#xff0c;它的概念及使用方法本文不过多赘述&#xff0c;请参考&#xff1a;axios传送门 本文重点讲述下在项目中是如何利用 axios 封装 http 请求。 一、预设全局变量 在 /const/preset.js 中配置预先设置一些全局变量 window.…

【蓝桥杯嵌入式】13届程序题刷题记录及反思

一、题目分析 考察内容&#xff1a; led按键&#xff08;短按&#xff09;PWM输出&#xff08;PA1&#xff09;串口接收lcd显示 根据PWM输出占空比调节&#xff0c;高频与低频切换 串口接收&#xff08;指令解析&#xff09;【中断接收】 2个显示界面 led灯闪烁定时器 二…

Web大并发集群部署之集群介绍

一、传统web访问模型 传统web访问模型完成一次请求的步骤 1&#xff09;用户发起请求 2&#xff09;服务器接受请求 3&#xff09;服务器处理请求&#xff08;压力最大&#xff09; 4&#xff09;服务器响应请求 传统模型缺点 单点故障&#xff1b; 单台服务器资源有限&…

如何将普通应用更改为 OTA APP

1. 引言 客户在基于 BlueNRG-LP 设计产品时&#xff0c;code base 用的是 SDK 中某些不带 OTA 升级功能的参考示例&#xff0c;当客户完成其基本设计功能后&#xff0c;想要添加 OTA 的软件升级功能。在这个过程中往往会碰到一些问题。基于上述考虑&#xff0c;本文尝试阐述在…

《Java面试自救指南》(专题二)计算机网络

文章目录 力推的计网神课get请求和post请求的区别在浏览器网址输入一个url后直到浏览器显示页面的过程常用状态码session 和 cookie的区别TCP的三次握手和四次挥手七层OSI模型&#xff08;TCP/IP协议模型&#xff09;各种io模型的知识http协议和tcp协议的区别https和http的区别…

SpringBoot配置文件加载的优先级顺序

SpringBoot配置文件加载的优先级顺序 1.按文件类型2.按路径比较3.按命令行参数设置 1.按文件类型 SpringBoot的配置文件可以分为.properties .yml .yaml 在同一路径下&#xff08;比如都在classpath下&#xff09;三者的优先级顺序是.properties> .yml> .yaml 2.按路径…

【Vscode】无法将“python,pip,node,npm等”识别为cmdlet...问题

问题出现场景 新换个电脑&#xff0c;然后重新安装了软件&#xff0c;又复现一次又一次“老生常谈”的问题。 解决方法 网络答案吧五花八门&#xff0c;我采取一个我的场景解决可行的方案&#xff0c; 首先我的场景是&#xff0c;环境变量&#xff0c;配置路径都是没有问题…

idea开发 java web 配电室后台管理系统bootstrap框架web结构java编程计算机网页

一、源码特点 java 配电室后台管理系统是一套完善的完整信息系统&#xff0c;结合java web开发和bootstrap UI框架完成本系统 &#xff0c;对理解JSP java编程开发语言有帮助&#xff0c;系统具有完整的源代码和数据库&#xff0c;系统主 要采用B/S模式开发。 前段主要技术 cs…

leetcode刷题-代码训练营-第7章-回溯算法1

回溯法模板 void backtracking(参数) {if (终止条件) {存放结果;return;}for (选择&#xff1a;本层集合中元素&#xff08;树中节点孩子的数量就是集合的大小&#xff09;) {处理节点;backtracking(路径&#xff0c;选择列表); // 递归回溯&#xff0c;撤销处理结果} }理解 从…

MybatisPlus总结

一、MyBatis回顾 &#xff08;1&#xff09;什么是MyBatis&#xff1a;MyBatis 是一款优秀的持久层框架&#xff0c;它支持定制化 SQL、存储过程以及高级映射。MyBatis 避免了几乎所有的 JDBC 代码和手动设置参数以及获取结果集。MyBatis 可以使用简单的 XML 或注解来配置和映…

adobe stock会员开通付费付款订阅充值教程/adobe stock免费白嫖一个月

登录adobe stock的官网&#xff0c;点击你想要下载的视频&#xff0c;然后点击免费下载&#xff0c;我们点击免费试用按钮&#xff0c;可以看到非常贵&#xff0c;需要80美金一个月&#xff0c;用fomepay可以免费白嫖一个月 点击获取一张虚拟信用卡&#xff0c;就可以白嫖一个…

Android 代码自定义drawble文件实现View圆角背景

简介 相信大多数Android开发都会遇到一个场景&#xff0c;给TextView或Button添加背景颜色&#xff0c;修改圆角&#xff0c;描边等需求。一看到这样的实现效果&#xff0c;自然就是创建drawble文件&#xff0c;设置相关属性shap&#xff0c;color&#xff0c;radius等。然后将…

python 笔记

文章目录 pdbpdb开始调试pythonpdb设置断点单步执行进入到函数的内部执行到下一个断点或程序结束调用栈查看命令查看当前函数调用堆栈向上一层函数查看调用堆栈查看源代码 importimport 用法 numpy导入numpy模块numpy常用函数np.argmaxnp.sum range生成连续序列生成不连续序列 …

云服务器ECS租用价格表报价——阿里云

阿里云服务器租用价格表2024年最新&#xff0c;云服务器ECS经济型e实例2核2G、3M固定带宽99元一年&#xff0c;轻量应用服务器2核2G3M带宽轻量服务器一年61元&#xff0c;ECS u1服务器2核4G5M固定带宽199元一年&#xff0c;2核4G4M带宽轻量服务器一年165元12个月&#xff0c;2核…

wordpress全站开发指南-面向开发者及深度用户(全中文实操)--php函数

php函数 wordpress会封装一部分函数&#xff0c;比如bloginfo该函数的作用是直接调用你设置的你的网站的名称 示例 This is our amazing custom theme <?php echo 22; function myfirstfunction(){ echo 33; echo "<p>Hello ,this is my first function</…

指针(三)

一.数组名的理解 在前面的文章中&#xff0c;有这样的代码 int arr[10] {1,2,3,4,5,6,7,8,9,10}; int *p &arr[0]; 这里我们使用&arr[0]的方式拿到了数组的第一个元素的地址&#xff0c;但其实数组名就是数组首元素的地址。下面我们进行一个测试。 #include <…

Google视觉机器人超级汇总:从RT、RT-2到AutoRT、SARA-RT、RT-Trajectory

前言 随着对视觉语言机器人研究的深入&#xff0c;发现Google的工作很值得深挖&#xff0c;比如RT-2 ​想到很多工作都是站在Google的肩上做产品和应用&#xff0c;​Google真是科技进步的核心推动力&#xff0c;做了大量大模型的基础设施&#xff0c;服 故有了本文&#xf…

rust 面向对象编程特性、模式与模式匹配、高级特征

面向对象编程OOP 学习了结构体、枚举&#xff0c;它们可以包含自定义数据字段&#xff0c;也可以定义内部方法&#xff0c;它们提供了与对象相同的功能。 面向对象的四大特征&#xff1a;封装、继承、多态 通过pub标记为公有的结构体&#xff0c;在其他模块中可以访问使用这…