SV学习笔记(七)

文章目录

  • 类型转换
    • 写在前面
    • 动态转换
    • 子类句柄赋值于父类句柄
    • 父类句柄转换为子类句柄
  • 虚方法
    • 写在前面
    • 非虚函数的调用
    • 虚函数的调用
    • 虚方法的建议
    • 为什么使用虚方法
  • 对象拷贝
    • 写在前面
    • 赋值和拷贝
    • 总结
  • 回调函数
    • 写在前面
    • 实例
    • 完成回调函数功能需要三步:
  • 参数化类
    • 写在前面
    • 实现一个简化的mailbox
  • 参考资料

类型转换

写在前面

  • 类型转换可以分为 静态转换和动态转换 。
  • 静态转换即需要在转换的表达式前 加上单引号 即可,该方式并不会对转换值做检查。如果发生转换失败,我们也无从得知。
  • 动态转换即需要使用 系统函数$cast(tgt, src) 做转换。
  • 静态转换和动态转换均需要操作符号或者系统函数介入,统称为 显式转换 。
  • 不需要显式操作的转换,我们称之为 隐式转换 。例如赋值语句右侧是4位的矢量,而左侧是5位的矢量,隐式转换会先做位宽扩展(隐式),然后再做赋值。

动态转换

  • 当我们使用类的时候, 类句柄的向下转换 ,即从父类句柄转换为子类句柄时, 需要 使用$cast() 函数 进行转换, 否则会出现编译错误,这一步也是编译器的保护措施,防止用户出现错误的赋值。
  • 如果将子类句柄赋值给父类句柄时,编译器则认为赋值是合法的,但分别 利用子类句柄和父类句柄调用相同对象的成员时,将可能有不同的表现 。

子类句柄赋值于父类句柄

class Transaction;rand bit[31:0] src;function void display(input string prefix="");$display("%s Transaction: src=%0d", prefix, src);endfunction
endclassclass BadTr extends Transaction;bit bad_crc;function void display(input string prefix="");$display("%s BadTr: bad_crc=%b", prefix, bad_crc);super.display(prefix);endfunction
endclassTransaction tr;
BadTr bad, bad2;

Transaction tr;
BadTr bad;
bad=new() ; //构建BadTr扩展对象
tr=bad; //基类句柄指向扩展对象
$display(tr.src) ; //显示基类对象的变量成员
tr.display() ; //??
  • tr.display() ; 调用的是父类的display。

父类句柄转换为子类句柄

tr=new() ; //创建一个父类对象
bad=tr; //ERROR:编译错误,即便使用cast进行转换,虽然解决编译错误,但是返回值为0,bad仍为空句柄。
$display(bad.bad_crc) ; //bad_crc成员不在父类对象中
  • 将一个父类句柄赋值给一个子类句柄并不总是非法的。
  • 但是SV编译器对这种直接赋值的做法是禁止的,也就是说无论父类句柄是否真正指向了一个子类对象,赋值给子类句柄时,编译(静态)都将出现错误。
  • 因此需要$cast(tgt, src) 来实现句柄类型的动态转换。
  • $cast(tgt, src) 会检查句柄所指向的对象类型, 而不仅仅检查句柄本身。
  • 一旦源对象跟目的句柄是同一类型,或者是目的句柄的扩展类,$cast() 函数执行即会成功, 返回1, 否贝返回0。

bad=new(); //创建Bad Tr子对象
tr=bad;   //父类句柄指向子类对象
//动态类型转换, 检查tr的源对象是否是bad 2类型或者其子类
//如果转换失败,将报告错误信息
if(!$cast(bad2, tr))$display("cannot assign tr to bad2");$display(bad2.bad_crc); //bad 2指向的对象包含band_src成员
bad2.display() ; //??
  • bad2.display() ; 调用子类的方法。

虚方法

写在前面

class basic_test;int fin;int def = 100;function new() ;$display("basic_test::new") ;endfunctiontask test() ;$display("basic_test::test") ;endtask
endclassclass test_wr extends basic_test;int def = 200;function new() ;super.new() ;$display("test_wr::new") ;endfunctiontask test() ;super.test() ;$display("test_wr::test") ;endtask
endclass
  • 类的继承是从 继承成员变量和成员方法 两个方面。
  • 从例码中可以看到test_wr类和test_rd类分别继承了basic_test类的成员变量以及成员方法。
  • 除了介绍的类的封装和继承,关于类的 多态性(polymorphism) 也是必须关注的。
  • 正是由于类的多态性,使得用户在设计和实现类时, 不需要担心句柄指向的对象类型是父类还是子类,只要通过虚方法,就可以实现动态绑定(dynamic binding) , 或者在SV中称之为动态方法查找(dynamic method lookup) 。

非虚函数的调用

basic_test t;
test_wr wr;
initial beginwr=new() ;t=wr;$display("wr test starts") ;wr.test() ;$display("wr test ends") ;$display("t test starts") ;t.test() ;$display("t test ends") ;
end//输出结果:
// wr test starts
// basic_test::test
// test_wr::test
// wr test ends
// t test starts
// basic_test::test
// t test ends
  • 首先, 在执行wr.test() 时, 由于wr类型为test_wr, 则索引到的test() 应该为test_wr类的方法test。
  • 同时, 由于在test_wr::test中显式调用了super.test() , 则会先执行basic_test::test, 然后再执行test_wr::test中其余的代码。
  • 这里值得注意的是, 默认情况下, 子类覆盖(override) 的方法并不会继承父类同名的方法, 而只有通过super.method()的方式显式执行,才会达到继承父类方法的效果,初学SV的用户容易在这里混淆方法覆盖和类继承的概念。
  • 当wr对象的句柄传递给t后, 由于t本身是basic_test类, 所以,在执行t.test时, t只会搜寻basic_test::test方法。
  • (多态的问题是什么?在句柄传递中,子类句柄赋值给父类句柄,调用同名函数时,子类句柄调用的是子类函数,父类句柄调用的是父类函数,即便把子类句柄赋值给了父类句柄,也无法通过父类句柄调用子类函数)

虚函数的调用

  • 我们将已经在编译阶段就可以确定下来调用方法所处作用域的方式称之为静态绑定(static binding) , 而与之相对的是动态绑定。
  • 动态绑定指的是,在调用方法时,会 在运行时来确定句柄指向对象的类型,再动态指向应该调用的方法
  • 为了实现动态绑定, 我们将basic_test::test定义为虚方法。
class basic_test;...virtual task test(stm_ini ini) ;$display("basic_test::test") ;endtask...
endclass//wr test starts只做了这么一个改动以后,我们重复运行之前的测试代码,可以看到运行结果变为:
// wr test starts
// basic_test::test
// test_wr::test
// wr test ends
// t test start.s
// basic_test::test
// test_wr::test
// t test ends
  • 由于声明了basic_test::test为虚方法, 系统在执行t.test时,会检查t所指向对象的类型为test_wr类, 进而调用test_wr::test。
  • 于是, 输出结果与调用wr.test一致。
  • 我们就可以通过虚方法的使用来实现类成员方法调用时的动态查找,用户无需担心使用的是父类句柄还是子类句柄,因为最终都会实现动态方法查找,执行正确的方法。

虚方法的建议

  • 在为父类定义方法时,如果该方法 日后可能会被覆盖或者继承 ,那么应该声明为虚方法。
  • 虚方法如果要定义,应该 尽量定义在底层父类中 。这是因为如果virtual是声明在类继承关系的中间层类中, 那么只有从该中间类到其子类的调用链中会遵循动态查找,而最底层类到该中间类的方法调用仍然会遵循静态查找。
  • 虚方法 通过virtual声明, 只需要声明一次即可 。例如上面代码中,只需要将basic_test::test声明为virtual, 而 其子类则无需再次声明 ,当然再次声明来表明该方法的特性也是可以的。
  • 方法的继承也需要 遵循相同的参数和返回类型 ,否则,子类定义的方法须归为同名不同参的其它方法。

为什么使用虚方法

  • 首先:当 父类指针指向子类对象 ,如果不将test函数声明为虚函数,最终调用的是父类test函数;如果将test函数声明为虚函数,那么调用的是子类test函数。
  • 为什么要用父类指针指向子类对象呢?用子类指针指向子类对象不好吗?

虽然这样说,但是实际开发过程中不是这样的,当我们使用一些类库、框架的时候,这些类库、框架是事先就写好的。我们在使用的时候不能直接修改类库的源码,我们只能派生类库中的类来覆盖一些成员函数以实现我们的功能,但这些成员函数有的是由框架调用的。这种情况下,用虚函数是很好的办法。

NND,这不就是C++吗?

对象拷贝

写在前面

  • 对于拷贝(copy) , 对象的拷贝要比其它SV的变量类型都让人“当心”。
  • 因为就SV普通的变量拷贝而言,只需要通过赋值操作符“=”就足够了。
  • 而对象的拷贝则无法通过“=”来实现,因为这一操作是句柄的赋值,而不是对象的拷贝。
test_wr h;
initial beginwr = new();h = wr;$display("wr.def=%Od", wr.def);$display("h.def=%0d", h.def);h.def = 300;$display("wr.def=%Od", wr.def);$display("h.def=%0d", h.def);
end
//输出结果:
// wr.def = 200
// h.def = 200
// wr.def = 300
// h.def = 300

  • 在h=wr之后,由于是句柄的赋值,所以h.def=300的操作, 实际上是对这两个句柄指向的共同对象做的成员变量赋值。所以,从最终打印的结果可以看出,wr.def与h.def的值相同。
  • 那么如果要拷贝对象,指的是首先创建一个新的对象(开辟新的空间),再将目标对象的成员变量值拷贝给新对象的成员,这就使得新对象与目标对象的成员变量数值保持一致,即完成了对象的拷贝(成员变量的拷贝)。
  • 初学者需要区别句柄拷贝与对象拷贝的区别。

软件来说,我们可能称呼的是值拷贝与引用拷贝

class basic_test; //父类...virtual function void copy_data(basic_test t) ;t.def=def;t.fin=fin;endfunctionvirtual function basic_test copy() ;basic_test t=new(0) ; //创建父类对象copy_data(t) ;return t;endfunction
endclassclass test_wr extends basic_test; //子类...function void copy_data(basic_test t) ;test_wr h;super.copy_data(t) ;$cast(h, t); //关键代码,句柄转化h.def=def;endfunctionfunction basic_test copy() ;test_wr t=new() ; //创建子类对象copy_data(t) ;return t;endfunction
endclass
module tb;....test_wr wr; //子类test_wr h; //子类initial beginwr=new() ;$cast(h, wr.copy()); //copy会返回父类句柄,所以这里将父类句柄转化为子类句柄$display("wr.def=%0d", wr.def);$display("h.def=%0d", h.def);h.def=300;$display("wr.def=%0d", wr.def);$display("h.def=%0d", h.def);end...
endmodule//输出结果:
// wr.def=200
// h.def=200
// wr.def=200
// h.def=300

赋值和拷贝

声明变量和创建对象是两个过程,也可以一步完成。

Packet pl;
p1=new;

如果将p1赋值给另外一个变量p2,那么依然只有一个对象,只是指向这个对象的句柄有p1和p2.

以下这种方式表示p1和p2代表两个不同的对象。

在创建p2对象时, 将从p 1拷贝其成员变量例如integer、string和句柄等, 该种拷贝方式称为浅拷贝(shallowcopy) 。

Packet pl;
Packet p2;
p1=new;
p2=new p1;

总结

  • 将成员拷贝函数copy_data() 和新对象生成函数copy() 分为两个方法,这样使得子类继承和方法复用较为容易。
  • 为了保证父类和子类的成员均可以完成拷贝,将拷贝方法声明为虚方法,且遵循只拷贝该类的域成员的原则,父类的成员拷贝应由父类的拷贝方法完成。
  • 在实现copy_data() 过程中应该注意句柄的类型转换, 保证转换后的句柄可以访问类成员变量。

回调函数

写在前面

  • 理想的验证环境是在被移植做水平复用或者垂直复用时,应当尽可能少地修改模块验证环境本身,只在外部做少量的配置,或者定制化修改就可以嵌入到新的环境中。
  • 要做到这一点,一方面我们可以通过顶层环境的配置对象自顶向下进行配置参数传递,另外一方面我们可以在测试程序不修改原始类的情况下注入新的代码。
  • 例如, 当我们需要修改stimulator的行为时, 有两种选择, 一个是修改父类,但针对父类的会传播到其它子类;另外一个选择是,在父类定义方法时,预留回调函数入口,使得在继承的子类中填充回调函数,就可以完成对父类方法的修改。

实例

  • 示意:
virtual class Driver_cbs; //Driver回调虚类virtual task pre_tx(ref Transaction tr, ref bit drop) ;//默认不做操作endtaskvirtual task post_tx(ref Transaction tr) ;//默认不做操作endtask
endclass
  • 实例:
class Driver;Driver_ebs cbs[$];task run() ;bit drop;Transaction tr;forever begindrop=0;agt2drv.get(tr) ;foreach(ebs[il) cbs[il.pre_tx(tr, drop) ;if(drop) continue;transmit(tr) ;foreach(cbs[il) cbs[i] .post_tx(tr) ;endendtask
endclassclass Driver_cbs_drop extends Driver_abs;virtual task pre_tx(ref Transaction tr, ref bit drop) ;//1/100的传输事务丢弃概率drop=($urandom range(0, 99) ==0) ;endtask
endclassprogram automatic test;Environment env;initial beginenv=new() ;env.gen_cfg() ;env.build() ;begin//创建回调对象井且植入driverDriver_abs_drop dcd=new() ;env.drv.cbs.push_back(dcd) ;endenv.run() ;env.wrap_up();end
endprogram

完成回调函数功能需要三步:

  • 预留回调函数入口
  • 定义回调的类和回调函数
  • 例化和添加回调的类

参数化类

写在前面

  • 参数化的使用是为了提高代码的复用率。
  • 无论是设计还是验证,如果代码会被更多的人使用或者被更多的项目所采用,那么就需要考虑使用参数来提高复用率。
  • 参数的使用越合理,后期维护的成本就会相应降低。
  • 在硬件设计中,参数往往是整型,例如端口数目或者位宽。在验证环境中,参数的使用更加灵活,可以使用各种类型来做类定义时的参数。
  • 在SV中,可以为类增加若干个数据类型参数,并在声明类句柄的时候指定类型。
  • SV的类参数化近似于C++中的模板。

实现一个简化的mailbox

class mailbox;local int queue[$] ;task put(input int i) ;queue.push_back(i) ;endtasktask get(ref int o) ;wait(queue.size() >0) ;o=queue·pop_front() ;endtasktask peek(ref int o) ;wait(queue.size() >0) ;o=queue[0] ;endtask
endclass
  • 这个简化的mailbox的问题在于它只能用于操作整数类型。
  • 如果要存储real类型, 或者某一个类的句柄,那么就得复制该类,然后将数据类型由int类型转换为real类型或者某一个类的类型。
  • 这样将导致类的快速增长,而且是重复代码的大规模增长,代码维护将变得冗长乏味而且还容易出错。
class mailbox #(type T=int) ;local T queue[$] ;task put(input T i) ;queue.push_back(i) ;endtasktask get(ref T o) ;wait(queue.size() >0) ;o=queue.pop_front() ;endtasktask peek(ref T o) ;wait(queue.size() >0) ;o=queue[Ol;endtask
endclassinitial beginreal o;mailbox #(real) mb; //创建一个存储real类型的mailboxmb=new() ;for(inti=0; i<5; i++)mb.put(i*2.0) ; //将real值存储入mbfor(inti=0; i<5; i++)mb.get(o) ; //从mb取出real值
end
  • 在类定义时添加参数#(typeT=int) , 这表示后期类在声明变量时如果不指定参数类型, 则默认采用int类型。
  • 将原代码int用参数T来代替。
  • 参数化的类将可以在后期例化时使用不同的参数,以此来存储不同的数据类型。

参考资料

  • Wenhui’s Rotten Pen
  • SystemVerilog
  • chipverify

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/299013.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

如何使用极狐GitLab Maven 仓库?

本文作者&#xff1a;徐晓伟 GitLab 是一个全球知名的一体化 DevOps 平台&#xff0c;很多人都通过私有化部署 GitLab 来进行源代码托管。极狐GitLab 是 GitLab 在中国的发行版&#xff0c;专门为中国程序员服务。可以一键式部署极狐GitLab。 本文主要讲述了如何使用极狐GitLa…

TPAMI:计算机学会像人脑一样“听话”了!清华团队实现混合语音分离技术突破

我们的大脑在处理声音信息时有一个特长&#xff1a;可以将注意力集中在感兴趣的对话或声音上&#xff0c;忽略其它无关的声音或者噪音。我们每天都在不知不觉地运用这种特长&#xff0c;在通勤的地铁上、嘈杂的餐厅里&#xff0c;广播声、音乐声、多人同时说话的声音&#xff0…

Redis中的持久化

持久化 .RDB手动触发save命令bgsave命令 自动触发bgsave的具体流程RDB的处理保存压缩校验 RDB的优缺点 AOF命令写入文件同步重写机制启动时恢复数据 本章重点回顾 . RDB RDB持久化是把当前进程数据生成快照保存到硬盘的过程,触发RDB持久化过程分为手动触发和自动触发 手动触发…

【Java+Springboot】----- 通过Idea快速创建SpringBoot项目操作方法

一、第一步&#xff1a; 点击选择【File】->【New】-> 【Project】 最后弹出[new Project]界面。 二、第二步&#xff1a; 1. 选择【Spring Initializr】 2. 然后选择【Project SDK】的版本 3. 然后 Choose Initializr Service URL 选择默认&#xff08;Default&#x…

JAVA8 新特性StreamAPI使用(二)

一、使用StreamAPI&#xff0c;&#xff08;基于数据模型——客户、订单和商品&#xff0c;实体关系图如下&#xff0c;客户可以有多个订单&#xff0c;是一对多的关系&#xff0c;而产品和订单的关系是多对多的&#xff09;需求如下&#xff1a; 二、Stream API思维导图 三、需…

【Java EE】SpringBoot的创建与简单使用

文章目录 &#x1f340;环境准备&#x1f333;Maven&#x1f332;SpringBoot是什么&#x1f384;Spring Boot 项目创建&#x1f338;使用Idea创建&#x1f338;创建SpringBoot项⽬&#x1f338;SpringBoot项目的运行 ⭕总结 &#x1f340;环境准备 如果你的IDEA是专业版&#…

六、从零实战企业级K8S本地部署ThingsBoard专业版集群

1、从 docker hub 拉取 ThingsBoard PE 映像(所有节点) 1.1、查看k8s信息(主节点) kubectl cluster-info #查看k8s集群信息 kubectl get node #查看节点信息 kubectl get pod -A #查看内部组件1.2、从 docker hub 拉取 ThingsBoard PE 映像(所有…

SYS-2722音频分析仪SYS2722

181/2461/8938产品概述&#xff1a; Audio Precision 2722 音频分析仪是 Audio Precision 屡获殊荣的 PC 控制音频分析仪的旗舰型号&#xff0c;长期以来一直是音频设备设计和测试的全球公认标准。功能齐全的 SYS-2722 提供了测试转换器技术最新进展所需的无与伦比的失真和噪声…

Linux云计算之网络基础9——园区网络架构项目

要求构建大型园区网络架构&#xff0c;方案如下&#xff1a; 园区A 园区c 公司B 要求&#xff1a; 1、A公司园区网络 一台汇聚层三层交换机&#xff0c;两台接入层二层交换机。 出口有一台路由器。 2、A园区有五台服务器。 分别为两台 WEB 服务器&#xff0c;…

专注项目管理的Mac工具 - Project Office Pro 最新版

Project Office Pro for Mac是一款功能强大的项目管理软件&#xff0c;旨在帮助用户更好地管理和跟踪项目进展&#xff0c;提高工作效率和质量。以下是该软件的主要功能介绍&#xff1a; 项目创建与编辑&#xff1a;用户可以根据自己的需求自定义项目计划&#xff0c;包括设置…

C#手术麻醉信息系统源码,技术框架:Vue,Ant-Design+百小僧开源框架

C#手术麻醉信息系统源码&#xff0c;技术框架&#xff1a;Vue&#xff0c;Ant-Design百小僧开源框架 手术麻醉系统主要用于在手术过程中监测和控制患者的状态&#xff0c;确保手术的顺利进行并保障患者的生命安全。该系统通过一系列先进的医疗设备和技术&#xff0c;为手术患者…

玩机进阶教程-----高通9008线刷XML脚本修改备份 檫除的操作步骤解析

在高通9008官方固件中我们可以看到刷写需要的脚本rawprogram0.xml和辅助脚本patch0.xml&#xff0c;脚本的作用在于将固件内各个分区对应写入手机内。根据分区地址段。然后判断脚本中那些分区不写入。以下步骤将分析emmc字库为例来讲解如何将默认刷入脚本修改为备份 檫除脚本。…

C++——栈和队列容器

前言&#xff1a;这篇文章我们将栈和队列两个容器放在一起进行分享&#xff0c;因为这两个要分享的知识较少&#xff0c;而且两者在结构上有很多相似之处&#xff0c;比如栈只能在栈顶操作&#xff0c;队列只能在队头和队尾操作。 不同于前边所分享的三种容器&#xff0c;这篇…

搭建电商购物独立站抓取主流电商产品数据的方法:工具+电商数据采集API接口

分享一个抓取数据产品的方法&#xff0c;也是别人给我说的。 想做一个联盟产品相关的网站&#xff0c;然后需要采集电商网站的产品。咨询大佬告诉我&#xff0c;大量级电商商品数据的采集可以接入专业的电商数据采集API接口&#xff0c;也可以用webscrsper&#xff0c;于是乎就…

bootstrap+thymeleaf 页面多选回显时莫名其妙多了

bootstrapthymeleaf 页面多选回显时莫名其妙多了 问题现象问题分析问题处理总结 问题现象 今天遇到的问题的描述正如标题中的一样&#xff0c;就是后台管理系统在配置完内容后点击保存&#xff0c;回显时发现页面竟然莫名其妙多了一些数据。项目整体后台管理系统采用的是boots…

回归预测 | Matlab基于CPO-GPR基于冠豪猪算法优化高斯过程回归的多输入单输出回归预测

回归预测 | Matlab基于CPO-GPR基于冠豪猪算法优化高斯过程回归的多输入单输出回归预测 目录 回归预测 | Matlab基于CPO-GPR基于冠豪猪算法优化高斯过程回归的多输入单输出回归预测预测效果基本介绍程序设计参考资料 预测效果 基本介绍 Matlab基于CPO-GPR基于冠豪猪算法优化高斯…

【Consul】基于Golang实现Consul服务的注册、注销、修改、监控注册的服务变化、实时同步服务信息机制

【Consul】基于Go实现Consul服务的注册、注销、修改、监控注册的服务变化、实时同步服务信息机制 大家好 我是寸铁&#x1f44a; 总结了一篇【Consul】基于Go实现Consul服务的注册、注销、修改、监控注册的服务变化、实时同步服务信息机制✨ 这应该是目前全网最全的使用golang手…

Git命令(1)[删除,恢复与移动]

文章目录 1.删除文件1.1命令----rm <filename>1.2命令----git rm <filename>1.1命令----git rm <filename> -f 2.恢复文件2.1命令----git restore <filename>2.1命令----git restore --staged <filename> 3.重命名文件3.1命令----mv 旧文件 新文…

Node.js------Express

◆ 能够使用 express.static( ) 快速托管静态资源◆ 能够使用 express 路由精简项目结构◆ 能够使用常见的 express 中间件◆ 能够使用 express 创建API接口◆ 能够在 express 中启用cors跨域资源共享 一.初识Express 1.Express 简介 官方给出的概念&#xff1a;Express 是基…

CSS - 你实现过0.5px的线吗

难度级别:中级及以上 提问概率:75% 我们知道在网页显示或是网页打印中,像素已经是最小单位了,但在很多时候,即便是最小的1像素,精度却不足以呈现所需的线条精度和细节。因此,为了在网页显示和网页打印中呈现更加细致的线条,为了在视觉…