FPGA 实验报告:四位全加器与三八译码器仿真实现

目录

安装Quartus软件

四位全加器

全加器、半加器

半加器:

全加器:

四位全加器电路图

创建项目

半加器

全加器

四位全加器

代码实现

半加器

全加器

四位全加器

三八译码器

创建项目

代码展示

modelsim仿真波形图

四位全加器

三八译码器

总结与展望


安装Quartus软件

本次实验首先进行Quartus软件的安装,版本选择18.1.0.625。安装过程较为常规,依次进行安装选项选择、许可协议接受、安装路径选择(如E盘)等步骤,等待安装进度条完成后,根据驱动程序安装向导提示,选择下一页及完成,即可完成软件的正常安装并投入使用。以下是详细安装流程:

  1. 打开安装软件

这里以18.1.0.625版本为例

打开安装程序,进行安装选择,选择next,进行下一步;

选择”I accept the agreement”再进行下一步;

选择想要保存的位置,例如我所选的E盘;

默认勾选,点击下一步;

继续点击next;

随后等待进度条走完即可;

在根据驱动程序安装向导,选择下一页,然后选择完成,完成安装;

打开Quartus 正常使用。

四位全加器

全加器、半加器

半加器:

定义:半加器是指只考虑两个一位二进制数相加,而不考虑来自低位的进位的加法器。

输入输出关系:

和(Sum):当两个输入数A和B不同时,和为1;当A和B相同时,和为0。其逻辑表达式为Sum = A ⊕ B(⊕表示异或运算)。

进位(Carry):当A和B都为1时,产生进位,进位输出为1,否则为0。其逻辑表达式为Carry = A & B(&表示与运算)。

电路结构

真值表:

全加器:

定义:全加器是指不仅考虑两个一位二进制数相加,还考虑来自低位的进位的加法器。

输入输出关系:

和(Sum):当A、B和来自低位的进位Cin中1的个数为奇数时,和为1;否则为0。其逻辑表达式为Sum = A ⊕ B ⊕ Cin。

进位(Carry):当A、B和Cin中任意两个或三个都为1时,产生进位。其逻辑表达式为Carry = (A & B) | (B & Cin) | (A & Cin)(|表示或运算)。

电路结构:

真值表:

  半加器和全加器就像是两个不同级别的助手,半加器只能处理简单的两个数相加,而全加器则能处理更复杂的带进位相加的情况。它们共同构成了数字电路中实现加法运算的基础模块,为计算机等数字设备的运算能力提供了支持。

四位全加器电路图

创建项目

在Quartus中,可通过绘制原理图或编写Verilog HDL代码实现四位全加器的设计。原理图绘制需在“Block Diagram/Schematic File”中进行,选择相应的与门、异或门等元件进行连接。代码实现则更为高效,通过定义实体和架构,利用信号连接和实例化语句实现四位全加器的功能。设计过程中,将四个全加器串联,使每个全加器的输出进位连接到下一个全加器的输入进位,从而实现四位二进制数的加法运算。下面为具体实现方法:

点击软件运行,进入主界面;

点击左上角的File,选择目录下的New;

\

然后在弹出来的目录中再选择”New Quartus Prime Project”;

在下图中填写好项目名称,随后点击”Next”;

其中,顶层设计实体名称这是你的设计文件中的顶层模块的名称。这个名称必须与你的设计文件中的实体名称完全匹配,包括大小写。

然后点击”Next”,直到下图所示,然后选择标注的型号,再点击”Next”;

随后在Slmulation,选择ModeSim-Altera;(由于之前在安装的时候已经默认安装了ModeSim,所以直接下一步即可)

最后点击,Finish,创建成功。

半加器

在空白项目中,左上角选择File,点击目录下的New,然后再选择Block Diagram/Schematic File

建立好过后,选择and2、xor(即与门、异或门);

按照下图连接,就是一位半加器的原理图。

画好原理图过后,再将其保存为可调用原件。

首先点开左上角File,在根据指示进行操作;

即可保存成功。

全加器

操作同半加器,绘制原理图,然后保存;

注意:这里的half_adder为刚才我们建立并保存好的,可直接调用。

四位全加器

在元器件中搜索刚才保存的全加器full_adder,绘制四位全加器。

绘制图如下:

编译成功过后可以在TOOL目录下的Netlist Viewers的二级目录中的RTL Viewer查看电路图。

代码实现

虽然图画表达更加直观,但占用的时间较多,当涉及的内容复杂时,画图效率更是大大缩减,这个时候可以用代码实现原理图的绘制。

选择主页面左上角的File,选择目录下的New,然后在新的目录下选择Verilog HDL File;

然后根据需要生成的电路图输入代码。

半加器
library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- 定义半加器实体entity half_adder isport (A : in  STD_LOGIC;B : in  STD_LOGIC;sum : out  STD_LOGIC;cout : out  STD_LOGIC);end half_adder;-- 定义半加器架构architecture Behavioral of half_adder isbeginsum <= A XOR B;cout <= A AND B;end Behavioral;
全加器
library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- 定义全加器实体entity full_adder isport (A : in  STD_LOGIC;B : in  STD_LOGIC;Cin : in  STD_LOGIC;sum : out  STD_LOGIC;Cout : out  STD_LOGIC);end full_adder;-- 定义全加器架构architecture Behavioral of full_adder isbeginsum <= A XOR B XOR Cin;Cout <= (A AND B) OR (Cin AND (A XOR B));end Behavioral;
四位全加器
library IEEE;use IEEE.STD_LOGIC_1164.ALL;-- 定义4位全加器实体entity four_bit_adder isport (A : in  STD_LOGIC_VECTOR(3 downto 0);B : in  STD_LOGIC_VECTOR(3 downto 0);Cin : in  STD_LOGIC;S : out  STD_LOGIC_VECTOR(3 downto 0);Cout : out  STD_LOGIC);end four_bit_adder;-- 定义4位全加器架构architecture Behavioral of four_bit_adder issignal C : STD_LOGIC_VECTOR(4 downto 0);signal temp_S : STD_LOGIC_VECTOR(3 downto 0);component full_adderport (A : in  STD_LOGIC;B : in  STD_LOGIC;Cin : in  STD_LOGIC;sum : out  STD_LOGIC;Cout : out  STD_LOGIC);end component;beginC(0) <= Cin;-- 实例化四个全加器G0: full_adder port map (A(0), B(0), C(0), temp_S(0), C(1));G1: full_adder port map (A(1), B(1), C(1), temp_S(1), C(2));G2: full_adder port map (A(2), B(2), C(2), temp_S(2), C(3));G3: full_adder port map (A(3), B(3), C(3), temp_S(3), C(4));S <= temp_S;Cout <= C(4);end Behavioral;

 

三八译码器

输入端口:

I2、I1、I0:这三个端口是3位二进制输入信号。

这三个输入信号可以表示8种不同的状态(从 000 到 111)。

输出端口:

Y7、Y6、Y5、Y4、Y3、Y2、Y1、Y0:这8个端口是8位一热码输出信号。

每个输出端口对应一个输入状态,只有一个输出端口为高电平(1),其余为低电平(0)。

工作原理

三-八译码器根据输入的3位二进制数,选择对应的输出线,并将其置为高电平(1),而其他输出线保持低电平(0)。具体的工作原理可以参考以下真值表:

逻辑表达式

每个输出端口的逻辑表达式可以表示为输入信号的组合。例如:

  1. Y0 = NOT I2 AND NOT I1 AND NOT I0
  2. Y1 = NOT I2 AND NOT I1 AND I0
  3. Y2 = NOT I2 AND I1 AND NOT I0
  4. Y3 = NOT I2 AND I1 AND I0
  5. Y4 = I2 AND NOT I1 AND NOT I0
  6. Y5 = I2 AND NOT I1 AND I0
  7. Y6 = I2 AND I1 AND NOT I0
  8. Y7 = I2 AND I1 AND I0

创建项目

在Quartus中创建新项目后,通过编写Verilog HDL代码实现三八译码器。使用case语句根据输入信号组合设置输出信号,代码简洁且逻辑清晰。编写完成后进行编译,确保代码无误。以下是具体实现方法:

首先再刚才新建项目的Feil,选择”New Project Wizard”,与全加器半加器的创建一样的操作;

创建好空项目过后,我们就使用代码实现三八译码器;

选择主页面左上角的File,选择目录下的New,然后在新的目录下选择Verilog HDL File;

代码展示

module three_eight_decoder(a, b, c, out);input a;  // 输入端口ainput b;  // 输入端口binput c;  // 输入端口coutput [7:0] out;  // 输出端口out,8位宽reg [7:0] out;     // 声明out为寄存器类型// 或者可以直接写成:output reg [7:0] out;// always块:当a、b或c发生变化时触发always @(a, b, c) begin// case语句:根据{a, b, c}的组合值选择输出case ({a, b, c})3'b000: out = 8'b0000_0001;  // 当{a, b, c}为000时,out输出0000_00013'b001: out = 8'b0000_0010;  // 当{a, b, c}为001时,out输出0000_00103'b010: out = 8'b0000_0100;  // 当{a, b, c}为010时,out输出0000_01003'b011: out = 8'b0000_1000;  // 当{a, b, c}为011时,out输出0000_10003'b100: out = 8'b0001_0000;  // 当{a, b, c}为100时,out输出0001_00003'b101: out = 8'b0010_0000;  // 当{a, b, c}为101时,out输出0010_00003'b110: out = 8'b0100_0000;  // 当{a, b, c}为110时,out输出0100_00003'b111: out = 8'b1000_0000;  // 当{a, b, c}为111时,out输出1000_0000endcaseendendmodule

modelsim仿真波形图

同样采用“University Program VWF”创建仿真文件,配置输入输出信号后运行仿真,查看波形图以验证译码器的正确性。通过观察波形图,可确认译码器是否能准确地根据输入信号生成对应的输出信号,如有问题可及时修改代码。具体操作如下:

四位全加器

选择主页面左上角的File,选择目录下的New,然后在新的目录下选择University Program VWF

创建好过后,会自动弹出窗口,双击左侧空白处,选择提示框中的Node Finder...;

然后会弹出窗口,先点击list,会显示四位全加器的输入输出元素,再点击双又向箭头;

配置好过后点击OK,即可得到波形图:

三八译码器

同理可得到38译码器的波形图:

总结与展望

通过本次实验,掌握了Quartus软件的安装与项目创建流程,深入理解了四位全加器和三八译码器的工作原理,并成功实现了其设计与仿真。在设计过程中,体会到了原理图绘制和代码实现两种方法的特点,以及仿真测试在验证设计正确性方面的重要性。

在未来,将继续深入学习FPGA设计,探索更复杂的数字电路,如多位乘法器、移位寄存器等,并尝试将FPGA应用于实际项目中,以提升自身的实践能力和技术水平。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/30381.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

记录一次wifi版有人物联串口服务器调试经过

1、首先买了一个华为的wifi路由器&#xff0c;连接上以后&#xff0c;设置好网络名字和wifi密码 2、用网线连接串口服务器&#xff0c;通过192.168.1.1登录&#xff0c;进行配置 找到无线客户端配置&#xff0c;先在基本配置中打开5G配置&#xff0c;然后再去5.8G配置中设置 …

Vue3.5 企业级管理系统实战(八):Sidebar组件开发 2

本篇通过 Pinia 实现侧边栏&#xff08;Sidebar&#xff09;的展开收起功能&#xff0c;并通过 Pinia 实现展开状态的持久化。 1 安装 Pinia Persistedstate Pinia 是 Vue.js 的状态管理库&#xff0c;而 pinia-plugin-persistedstate 是一个针对 Pinia 的插件&#xff0c;它…

驱动 AI 边缘计算新时代!高性能 i.MX 95 应用平台引领未来

智慧浪潮崛起&#xff1a;AI与边缘计算的时代 正悄然深植于我们的日常生活之中&#xff0c;无论是火热的 ChatGPT 与 DeepSeek 语言模型&#xff0c;亦或是 Meta 智能眼镜&#xff0c;AI 技术已经无形地影响着我们的生活。这股变革浪潮并未停歇&#xff0c;而是进一步催生了更高…

vue3 vite项目安装eslint

npm install eslint -D 安装eslint库 npx eslint --init 初始化配置&#xff0c;按项目实际情况选 自动生成eslint.config.js&#xff0c;可以添加自定义rules 安装ESLint插件 此时打开vue文件就会标红有问题的位置 安装prettier npm install prettier eslint-config-pr…

【RocketMQ】二、架构与核心概念

文章目录 1、发布订阅模型2、角色3、工作流程4、RocketMQ的架构4.1 RocketMQ4.x版本4.2 RocketMQ5.0版本 1、发布订阅模型 几乎所有主流MQ产品&#xff0c;都是发布订阅模型&#xff08;Pub/Sub模型&#xff09;&#xff0c;是生产者和消费者进行基于主题Topic的消息传送 在这…

vue3 遇到babel问题(exports is not defined) 解决方案

由于我在引用ant-design-vue插件&#xff0c;于是产生了下图的问题。 1.问题分析 Babel 是一个 JavaScript 编译器&#xff0c;主要用于&#xff1a;将 ES6 代码转译为 ES5 代码&#xff0c;以兼容旧版浏览器。处理模块化语法&#xff08;如 import/export&#xff09;。 2.解…

【笔记】STM32L4系列使用RT-Thread Studio电源管理组件(PM框架)实现低功耗

硬件平台&#xff1a;STM32L431RCT6 RT-Thread版本&#xff1a;4.1.0 目录 一.新建工程 二.配置工程 ​编辑 三.移植pm驱动 四.配置cubeMX 五.修改驱动文件&#xff0c;干掉报错 六.增加用户低功耗逻辑 1.设置唤醒方式 2.设置睡眠时以及唤醒后动作 ​编辑 3.增加测试命…

数据结构篇——串(String)

一、引入 在计算机中的处理的数据内容大致可分为以整形、浮点型等的数值处理和字符、字符串等的非数值处理。 今天我们主要学习的就是字符串数据。本章主要围绕“串的定义、串的类型、串的结构及其运算”来进行串介绍与学习。 二、串的定义 2.1、串的基本定义 串&#xff08;s…

STM32F4 UDP组播通信:填一填ST官方HAL库的坑

先说写作本文的原因&#xff0c;由于开项目开发中需要用到UDP组播接收的功能&#xff0c;但是ST官方没有提供合适的参考&#xff0c;使用STM32CubeMX生成的代码也是不能直接使用的&#xff0c;而我在网上找了一大圈&#xff0c;也没有一个能够直接解决的方案&#xff0c;deepse…

考研数一非数竞赛复习之Stolz定理求解数列极限

在非数类大学生数学竞赛中&#xff0c;Stolz定理作为一种强大的工具&#xff0c;经常被用来解决和式数列极限的问题&#xff0c;也被誉为离散版的’洛必达’方法&#xff0c;它提供了一种简洁而有效的方法&#xff0c;使得原本复杂繁琐的极限计算过程变得直观明了。本文&#x…

MWC 2025 | 紫光展锐与中国联通联合发布5G eSIM 平板

2025 年 3 月 3 日至 6 日&#xff0c;在全球移动通信行业的年度盛会 —— 世界移动通信大会&#xff08;MWC 2025&#xff09;上&#xff0c;紫光展锐联合中国联通重磅发布了支持eSIM的5G平板VN300E。 该产品采用紫光展锐T9100高性能5G SoC芯片平台&#xff0c;内置8 TOPS算力…

MySQL进阶-关联查询优化

采用左外连接 下面开始 EXPLAIN 分析 EXPLAIN SELECT SQL_NO_CACHE * FROM type LEFT JOIN book ON type.card book.card; 结论&#xff1a;type 有All ,代表着全表扫描&#xff0c;效率较差 添加索引优化 ALTER TABLE book ADD INDEX Y ( card); #【被驱动表】&#xff0…

大模型gpt结合drawio绘制流程图

draw下载地址 根据不同操作系统选择不同的安装 截图给gpt 并让他生成drawio格式的&#xff0c;选上推理 在本地将生成的内容保存为xml格式 使用drawio打开 保存的xml文件 只能说效果一般。

2025-03-08 学习记录--C/C++-C 语言 判断一个数是否是完全平方数

C 语言 判断一个数是否是完全平方数 使用 sqrt 函数计算平方根&#xff0c;然后判断平方根的整数部分是否与原数相等。 #include <stdio.h> #include <math.h>int isPerfectSquare(int num) {if (num < 0) {return 0; // 负数不是完全平方数}int sqrtNum (int)…

Java高频面试之集合-07

hello啊&#xff0c;各位观众姥爷们&#xff01;&#xff01;&#xff01;本baby今天来报道了&#xff01;哈哈哈哈哈嗝&#x1f436; 面试官&#xff1a;ArrayList 和 Vector 的区别是什么&#xff1f; ArrayList 与 Vector 的区别详解 ArrayList 和 Vector 都是 Java 中基于…

《原型链的故事:JavaScript 对象模型的秘密》

原型链&#xff08;Prototype Chain&#xff09; 是 JavaScript 中实现继承的核心机制。每个对象都有一个内部属性 [[Prototype]]&#xff08;可以通过 __proto__ 访问&#xff09;&#xff0c;指向其原型对象。每个对象都有一个原型&#xff0c; 原型本身也是一个对象&#xf…

第11章 web应用程序安全(网络安全防御实战--蓝军武器库)

网络安全防御实战--蓝军武器库是2020年出版的&#xff0c;已经过去3年时间了&#xff0c;最近利用闲暇时间&#xff0c;抓紧吸收&#xff0c;总的来说&#xff0c;第11章开始学习利用web应用程序安全&#xff0c;主要讲信息收集、dns以及burpsuite&#xff0c;现在的资产测绘也…

【redis】全局命令set、get、keys

生产环境 未来在工作中会涉及到的几个环境&#xff1a; 办公环境&#xff08;入职后&#xff0c;公司给你发个电脑&#xff09;开发环境 有的时候&#xff0c;开发环境和办公环境是一个&#xff08;一般做前端和做客户端&#xff09;有的时候&#xff0c;开发环境是一个单独的…

Paper Reading | AI 数据库融合经典论文回顾

人工智能&#xff08;AI&#xff09;和数据库&#xff08;DB&#xff09;在过去的50年里得到了广泛的研究&#xff0c;随着数据库近年来的不断发展&#xff0c;数据库开始与人工智能结合&#xff0c;数据库和人工智能&#xff08;AI&#xff09;可以相互促进。一方面&#xff0…

Linux上位机开发(开篇)

【 声明&#xff1a;版权所有&#xff0c;欢迎转载&#xff0c;请勿用于商业用途。 联系信箱&#xff1a;feixiaoxing 163.com】 传统的上位机开发&#xff0c;一般都是默认pc软件开发。既然是pc软件&#xff0c;一般来说都是基于windows平台开发。开放的框架&#xff0c;无非是…