华为海思校园招聘-芯片-数字 IC 方向 题目分享——第三套

华为海思校园招聘-芯片-数字 IC 方向 题目分享——第三套

(共9套,有答案和解析,答案非官方,未仔细校正,仅供参考)

部分题目分享,完整版获取(WX:didadidadidida313,加我备注:CSDN huawei数字芯片题目,谢绝白嫖哈)

在这里插入图片描述

在这里插入图片描述

华为海思校园招聘-芯片-数字 IC 方向
(第三套)

  1. 对于一个 FPGA 的基本逻辑单元 CLB 或 LAB 而言,不可能实现哪个电路功能?
    A    1K 双端口 RAM 
    B    4 选 1 多路选择器
    C    A(B+C)
    D    2 分频电路
  2. 在下面的 Verilog 代码中,如果 A 等于 1’bx,则 B 输出是
    If(A==1’bx)
    B=1’b1; 
    else 
    B=1’b0 
    a. 1’b0 
    b. 1’b1 
    c. 1’bz 
    d. 1’bx
  3. 根据芯片验证的质量要求,芯片系统验证中的测试点分解活动应该
    A.主要考虑单元电路的设计内容
    B.适当覆盖芯片内部实现
    C.依靠验证人员对电路实现的充分揣测
    D.采用全黑盒方式分解以保证规格的正确性
    4.  关于三段式状态机的描述,下列说法正确的是() 
    A  描述状态迁移的逻辑为时序逻辑
    B  描述状态转移时,可以不指定 default 态。
    C.输出必须是寄存器输出
    D.  描状态转移条件和转移规律的逻辑是时序逻辑
      
    5.  条件覆盖率未达到 100%,验证一定不完备(×)
  4. Moore 状态机和 Mealy 状态机的差异在()是否相关。
    A.输出信号和输入信号
    B 状态和输出信号
    C.输出信号和状态
    D.状态和输入信号
    解析:Moore 型的输出只与当前状态有关;Mealy 型的输出还与输入相关
  5. Isolatlon cell 是下面哪种低功耗技术必需的
    A MuIti VT 
    B clk gating 
    C power gating 
    D Multi VDD
  6. assign c[31:0]={{【(32‐P)1’b1}},a【P‐1;0】}对于 P=1 到 32,都是合法的(√)
    解析:
    该语句表示将  a  变量的低  P  位拼接在长度为  32‐P  的全  1  后面,形成一个  32  位宽的向
    量,将该向量赋值给  c  变量。该代码对于  P  的所有值都是合法的。
    在代码中,使用了  SystemVerilog  中的拼接操作符{  },它们用于将一个或多个  Verilog  子向
    量连接在一起以创建一个较大的向量。在这个例子中,使用了两个拼接操作符:
    [{[(32‐P)1’b1}}]  对应于一个长度为  32‐P  的向量,所有的位都设置为  1,表示一个  P  位的
    MSB  扩展值为  1  的向量
    [a[P‐1:0]]  对应于长度为  P  的向量,包含了  a  的低  P  位。
  7. 在 verilog 中,调用 w r i t e 或者 write 或者 write或者display 显示信息时,关于显示格式说法错误的是()
    A、%c 或%C 表示以 ASCII 码字符得形式输出
    B、%x 表示十六进制的形式输出
    C、%f 或%F 表示以指数的形式输出实型数(X)
    D、%s 或%S 表示以字符串的形式输出(对)
  8. reg signed【0:4】c;c=8’ h8f,赋值后 c 的值是多少?C
    a. 17
    b. 15
    c. ‐15
  9. 下面哪条语句是对的。
    A、wire buf; 
    B、define AHB_TRANS SEO=2’b11 
    C、parameter BUS_WD=12;
    D、reg [7.0] reg;
  10. 以下关于 Flase‐path,正确的是()
    A、两个不同频率之间的接口一定可以设置为 false path
    B、一般异步复位可以设置为 Fase‐path
    C、一般模拟 IP 和系统的互联接口都可以设置为 False‐path
    D、一般异步电路可以设置为 False path
    解析:false  path 就是我们进行综合分析时,不希望综合工具分析的那些路径。一般在以下
    两种情况下使用
  11. 从逻辑上考虑,与电路正常工作不相关的那些路径,比如测试逻辑,静态逻辑等
  12. 从时序上考虑,我们在综合时不需要分析的那些路径,比如跨越异步时钟域的路径
  13. 下面这段代码,vid 为 0,data_i 在翻转时,乘法器不产生动态功耗(×)
    always@()begin
        if(vid==1’b1)begin 
    data_i_sqw=$signed(data_i)
    KaTeX parse error: Double subscript at position 45: …gin      data_i_̲sqw=16’d0;  end…unsigned(‐4) 
    A.8’b0000_0100 
    B.8’b1111_0011 
    C 8’b1111_1100 
    D.8’b0000_0011 
    解析:
    对于 SystemVerilog  中的  unsigned  类型,其值域为  0  到  2^N‐1,其中  N  表示变量的位宽。
    因此,unsigned(‐4)  的值为  2^8 ‐ 4 = 252。
    用二进制表示  252,需要  8  位二进制数。其二进制表示为  1111 1100。因此,正确的答案是
    D. 8’b0000_0011。
    19. 在编写时序逻辑代码时,下列哪个方法不能明显降低动态功耗
    A.将不必要的置 0/置 1 修改为保持
    B  降低时钟频率
    C.时钟门控
    D.  使用不带复位的寄存器
      
    20.  Verilog 语言的层次从低到高依次对应() 
    A、门级,寄存器传输级,行为级,功能级,系统级
    B、系统级,功能级,寄存器传输级,行为级,门级,行为级,寄存器传输级,功能级,系
    统级
    C、门级,寄存器传输级,功能级,行为级,系统级
    D、门级,功能级,寄存器传输级,行为级,系统级
    21. 状态机的基本要素中包括:状态、输入,输出和健壮性(×)
    解析:输入、输出、状态
    22. reg[255:0] mem[15:0];该声明定义了一个位宽为 16Bits,深度为 256 的 Memory(×)
    解析:该声明定义了一个位宽为 256bits,深度为 32 的 memory。
    23. SystemVerilog 随机只支持两态值,四态值(X 或 Z)或四态操作符(如==,!==)在随机中使
    用是非法的并且会导致错误(√)
    24. SystemVerilog Asserion 中,express1|=>express2,表示如果 express1 为真,则在当前时刻检
    查 express2,如果 express2 为真则断开。(×)
    25.  以下语句,哪个不可综合? 
    A、generate 语
    B、wait 语句
    C、if 语句
    D、for 语句
    解析:
    可综合语句:
    input、output、parameter、reg、wire、always、assign、begin、end、case、 posedge、negedge、
    or、and、default、if、function、generate、integer、`define, while、repeat、for(while、repeat
    循环可综合时,要具有明确的循环表达式和循环条件,for 可综合时也要有具体的循环范围)
    不可综合语句:
    initial、fork…join、wait、time、real、display、 forever.
    26.  高频时钟域的总线数据(可能每个时钟周期数据都发生变化)传递给低频时钟域时,哪种
    同步方式正确()

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/306781.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

c++编程(3)——类和对象(1)、类

欢迎来到博主的专栏——c编程 博主ID:代码小豪 文章目录 类对象类的访问权限类的作用域 类 c最初对c语言的扩展就是增加了类的概念,使得c语言在原有的基础之上可以做到信息隐藏和封装。 那么我们先来讲讲“带类的c”与C语言相比有什么改进。 先讲讲类…

Golang | Leetcode Golang题解之第24题两两交换链表中的节点

题目: 题解: func swapPairs(head *ListNode) *ListNode {dummyHead : &ListNode{0, head}temp : dummyHeadfor temp.Next ! nil && temp.Next.Next ! nil {node1 : temp.Nextnode2 : temp.Next.Nexttemp.Next node2node1.Next node2.Nex…

论文阅读:Polyp-PVT: Polyp Segmentation with PyramidVision Transformers

这篇论文提出了一种名为Polyp-PVT的新型息肉分割框架,该框架采用金字塔视觉变换器(Pyramid Vision Transformer, PVT)作为编码器,以显式提取更强大的特征。本模型中使用到的关键技术有三个:渐进式特征融合、通道和空间…

【vue】watch 侦听器

watch&#xff1a;可监听值的变化&#xff0c;旧值和新值 <!DOCTYPE html> <html lang"en"><head><meta charset"UTF-8"><meta name"viewport" content"widthdevice-width, initial-scale1.0"><titl…

【opencv】示例-imgcodecs_jpeg.cpp使用OpenCV库来创建和处理图像,并保存为不同JPEG采样因子的版本...

上层-原始图像 下层&#xff1a;编码解码后的lossy_img #include <opencv2/core.hpp> // 包含OpenCV核心功能的头文件 #include <opencv2/imgproc.hpp> // 包含OpenCV图像处理功能的头文件 #include <opencv2/imgcodecs.hpp> // 包含OpenCV图像编码解码功能…

平板设备IP地址设置指南

在数字化时代&#xff0c;平板电脑作为便携且功能强大的设备&#xff0c;广泛应用于日常生活和工作中。为了确保平板能够正常接入网络并与其他设备进行通信&#xff0c;正确设置IP地址是至关重要的。虎观小二将为您介绍如何设置平板的IP地址&#xff0c;帮助您轻松完成网络配置…

大创项目推荐 深度学习+opencv+python实现车道线检测 - 自动驾驶

文章目录 0 前言1 课题背景2 实现效果3 卷积神经网络3.1卷积层3.2 池化层3.3 激活函数&#xff1a;3.4 全连接层3.5 使用tensorflow中keras模块实现卷积神经网络 4 YOLOV56 数据集处理7 模型训练8 最后 0 前言 &#x1f525; 优质竞赛项目系列&#xff0c;今天要分享的是 &am…

数字IC/FPGA——锁存器/触发器/寄存器

本文主要介绍以下几点&#xff1a; 什么是触发器和锁存器门电路和触发器的区别什么是电平钟控触发器电平钟控触发器触发器和锁存器的区别触发器的分类方式&#xff1a;逻辑功能、触发方式、电路结构、存储数据原理、构成触发器的基本器件寄存器利用移位寄存器实现串并转换或并…

WordPress LayerSlider插件SQL注入漏洞复现(CVE-2024-2879)

0x01 免责声明 请勿利用文章内的相关技术从事非法测试&#xff0c;由于传播、利用此文所提供的信息而造成的任何直接或者间接的后果及损失&#xff0c;均由使用者本人负责&#xff0c;作者不为此承担任何责任。工具来自网络&#xff0c;安全性自测&#xff0c;如有侵权请联系删…

LiveNVR监控流媒体Onvif/RTSP功能-概览负载统计展示取流中、播放中、录像中点击柱状图快速定位相关会话

LiveNVR概览负载统计展示取流中、播放中、录像中点击柱状图快速定位相关会话 1、负载信息说明2、快速定位会话3、RTSP/HLS/FLV/RTMP拉流Onvif流媒体服务 1、负载信息说明 实时展示取流中、播放中、录像中等使用数目 取流中&#xff1a;当前拉流到平台的实时通道数目播放中&am…

基于单片机的智能锁芯报警系统设计

摘 要:在传统的智能锁芯报警系统中,存在响应时间较长的问题,为此,提出一种基于单片机的智能锁芯报警系统。通过控制模块、智能锁芯设置模块、报警模块、中断模块、液晶模块等,建立系统总体框架,根据系统总体框架,通过单片机、电源适配器、智能锁芯、报警器、LED灯等…

浏览器工作原理与实践--HTTP/2:如何提升网络速度

上一篇文章我们聊了HTTP/1.1的发展史&#xff0c;虽然HTTP/1.1已经做了大量的优化&#xff0c;但是依然存在很多性能瓶颈&#xff0c;依然不能满足我们日益变化的新需求&#xff0c;所以就有了我们今天要聊的HTTP/2。 本文我们依然从需求的层面来谈&#xff0c;先分析HTTP/1.1存…

CMake构建OpenCv并导入QT项目过程中出现的问题汇总

前言 再此之前请确保你的环境变量是否配置&#xff0c;这是总共需要配置的环境变量 E:\cmake\bin E:\OpenCv\opencv\build\x64\vc15\bin F:\Qt\Tools\mingw730_64\bin F:\Qt\5.12.4\mingw73_64\bin 问题一&#xff1a; CMake Error: CMake was unable to find a build program…

Java 中文官方教程 2022 版(四十五)

原文&#xff1a;docs.oracle.com/javase/tutorial/reallybigindex.html 教程&#xff1a;自定义网络 原文&#xff1a;docs.oracle.com/javase/tutorial/networking/index.html Java 平台备受推崇&#xff0c;部分原因是其适用于编写使用和与互联网资源以及万维网进行交互的程…

Git Clone succeeded, but checkout failed

Clone succeeded, but checkout failed&#xff1a; Filename too long 原因&#xff1a; 由于系统限制&#xff0c;路径太长&#xff0c;无法检出 解决方案&#xff1a; # git允许长路径&#xff0c;在已clone的仓库执行 git config core.longpaths true # 再次检出 git ch…

云原生数据库海山(He3DB)PostgreSQL版核心设计理念

本期深入解析云原生数据库海山PostgreSQL版&#xff08;以下简称“He3DB”&#xff09;的设计理念&#xff0c;探讨在设计云原生数据库过程中遇到的工程挑战&#xff0c;并展示He3DB如何有效地解决这些问题。 He3DB是移动云受到 Amazon Aurora 论文启发而独立自主设计的云原生数…

SpringBoot3 + Vue3 + Uniapp + uView + Elenment 实现动态二级分类以及二级分类的管理

SpringBoot3 Vue3 Uniapp uView Elenment 实现动态二级分类以及二级分类的管理 1. 效果展示1.1 前端显示效果1.2 后台管理一级分类1.3 后台管理二级分类 2. 后端代码2.1 GoodsCategoryController.java2.2.1 GoodsCategoryMapper.java2.2.2 GoodsCategorySonMapper.java2.3.…

性能升级,INDEMIND机器人AI Kit助力产业再蜕变

随着机器人进入到越来越多的生产生活场景中&#xff0c;作业任务和环境变得更加复杂&#xff0c;机器人需要更精准、更稳定、更智能、更灵敏的自主导航能力。 自主导航技术作为机器人技术的核心&#xff0c;虽然经过了多年发展&#xff0c;取得了长足进步&#xff0c;但在实践…

QA测试开发工程师面试题满分问答11: web前端页面视频组件无法播放如何定位bug

当 web 前端页面的视频组件无法播放时&#xff0c;可以从以下维度进行分析和定位可能的 bug&#xff0c;分析维度包括但不限于&#xff1a;前端功能点、缓存、异常、后端功能点、资源占用、并发、网络等&#xff1a; 前端功能点&#xff1a; HTML5 视频支持&#xff1a;检查视频…

FPGA基于VCU的H265视频解压缩,解码后HDMI2.0输出,支持4K60帧,提供工程源码+开发板+技术支持

目录 1、前言免责声明 2、相关方案推荐我这里已有的视频图像编解码方案4K60帧HDMI2.0输入&#xff0c;H265视频压缩方案 3、详细设计方案设计框图FPGA开发板解压视频源Zynq UltraScale VCUVideo Frame Buffer ReadVideo MixerHDMI 1.4/2.0 Transmitter SubsystemVideo PHY Cont…