从51到ARM裸机开发实验(009)LPC2138 中断实验

一、场景设计

        中断的概念在《从51到ARM裸机开发实验(007) AT89C51 中断实验》中已经介绍过,LPC2138的Keil工程创建在《从51到ARM裸机开发实验(005)LPC2138 GPIO实验》中已经介绍过。本次使用LPC2138来实现一个这样的场景:四个LED依次亮灭,时间间隔最小0.1秒,最大1秒,要求精确延时。使用两个按键分别控制间隔时间的增减,每按一次增或减0.1秒。精确延时用定时器中断实现,按键响应使用外部中断实现。(Protues仿真的时间和现实时间差距较大,可以注意Protues左下方的仿真时间或者接示波器观察曲线变化时间间隔)

二、LPC2138时钟系统

        从芯片手册可以看到,PCLK时钟(记住这个时钟,它是定时器发挥作用的前置条件)是由CCLK时钟经过分频而来,分频多少由寄存器VPBDIV决定。PCLK可以等CCLK或1/2CCLK或1/4CCLK。那么CCLK又是怎么来的呢?它是晶振或外部时钟源经PLL锁相环配置而来。

        关于寄存器更详细的解释见文末附件中的芯片数据手册。
本实验中的采用的晶振频率为10MHz,将系统配置为 Fosc = 10MHz , CCLK= 60MHz 。根据芯片手册的描述计算如下:
M=cclk/Fosc = 60MHz/10MHz = 6 。因此, M-1 = 5 写入 PLLCFG4:0 。
P 值可由 P=Fcco/(cclk*2) 得出, Fcco 必须在 156MHz~320MHz 内。假设 Fcco 取最低频率 156MHz ,则P=156MHz/(2*60MHz) = 1.3 。 Fcco 取最高频率可得出 P=2.67 。因此,同时满足 Fcco 最低和最高频率要求的 P 值只能为 2 。所以, PLLCFG=6:5=1 。

接下来配置VPBDIV,本实验中将PCLK配置为CCLK的1/4,即15MHz

三、LPC2138中断系统

        本实验中使用EINT0和EINT1两个中断。

EINT0使用P0.16 配置PINSEL1寄存器1:0位为01
EINT0使用P0.14 配置PINSEL0寄存器29:28位为10

        通过以下两个寄存器配置中断的触发方式:

重点内容:LPC2138不支持中断嵌套。LPC2138为ARM7TDMI内核。是一种ARM处理器架构,具体来说是ARMv4T微架构。在这种处理器中,如果当前处于一个高优先级中断处理程序中,而此时又发生了一个同级别或更低优先级的中断,那么处理器将不会立即进入这个新的中断处理程序,即不支持中断嵌套。这意味着如果一个中断服务程序(ISR)正在执行,并且在该ISR完成之前又发生了一个中断,这第二个中断将被忽略,直至当前的ISR执行完毕。
问题解决方法:① 优化中断处理程序:确保每个中断处理程序尽可能短小精悍,以减少中断处理时间,从而减少对中断嵌套的需求。② 使用轮询方式:如果确实需要在一个中断处理程序执行期间处理另一个中断,可以在ISR中设置一个标志,然后在ISR返回前将控制权交还给处理器,然后在主循环中或者一个低优先级中断中处理这个标志。③ 优先级重新配置:在系统设计中,可以为某些中断设置高的优先级,以确保在处理低优先级中断时不会被高优先级中断打断。④ 使用专用的嵌套向量中断控制器(NVIC):如果硬件和ARM核支持中断嵌套,可以配置NVIC来允许中断嵌套。
在实际应用中,通常会根据实际需求和系统资源,选择最合适的解决方案。如果系统对中断响应有严格的实时要求,且对中断处理时间有严格控制,那么可能需要重新评估系统设计,避免不必要的中断嵌套,或采取措施减少每个中断的处理时间。

LPC2138的中断实现方式:

①、通过VICVectAddrX指定某中断(此时还不知道是哪个中断)发生时的执行函数。

②、通过VICVectCntlX控制寄存器,启用编号为X的中断,并将某VIC通道的中断(指定哪个VIC通道号就是哪个中断)和X中断进行关联。

③、通过VICIntEnable配置启用某中断(VICIntEnable中的位和X存在对应关系)。

四、仿真电路

        注意仿真时间线和现实时间并不一致,比如现实中可能过去三五秒了,仿真时间才过去1秒。仿真时间线注意仿真软件左下角即可。比如本实验中最长延时LED 1秒切换一次,是指Protues的仿真时间线过去1秒才会切换,与现实时间无关。

五、程序设计

1、驱动程序

interrupt.h

#ifndef _INTERRUPT_H_
#define _INTERRUPT_H_
#include "lpc2138.h"void set_interrupt_callback(int interrupt_num, void* isr_callback);
void init_timer0_isr();
void init_external_isr();
#endif

lpc2138.h 里面主要是寄存器到内存地址的映射配置,也可以不使用此文件,自己根据芯片手册配置寄存器。 

interrupt.c

#include "interrupt.h"
#include "delay.h"void (*callback0)(); // 声明一个指向同样参数、返回值的函数指针类型
void (*callback1)(); // 声明一个指向同样参数、返回值的函数指针类型
void (*callback2)(); // 声明一个指向同样参数、返回值的函数指针类型//设置中断回调函数
void set_interrupt_callback(int interrupt_num, void* isr_callback){if(interrupt_num == 0){callback0 = isr_callback;}else if(interrupt_num == 1){callback1 = isr_callback;}else if(interrupt_num == 2){callback2 = isr_callback;}
}//定时器0中断事件处理
void timer0_isr(void) __irq {//清除中断T0IR = (1<<0);//中断应答VICVectAddr = 0;callback2();
}//cclk = 60MHz
void initPLL() {// 设置 PLL0CFG 寄存器,选择合适的 M 和 P 值PLLCFG |= ((1 << 5) |(1 << 2) | (1 << 0));// 启动 PLLPLLCON |= 0x01;// 等待 PLL 锁定while (!(PLLSTAT & (1 << 10)));// 选择 PLL 为系统时钟源PLLCON |= (1 << 1);PLLFEED = 0xAA;PLLFEED = 0x55;// 等待 PLL 切换完成while (!(PLLSTAT & (1 << 9)));
}//pclk = 15MHz
void initVPBdivider() {// 设置 VPBDIV 寄存器,选择 VPB 时钟分频比VPBDIV &= ~(0x03);
}//定时器中断初始化
void init_timer0_isr(){initPLL();initVPBdivider();// 定时器模式:每上升一次PCLK边T0CTCR = 0x00;// 预刻度寄存器:15 MHz PCLK, 15000-1得到毫秒T0PR = 14999;// 匹配寄存器:计时100毫秒即0.1秒T0MR0 = 100;// 每经过T0PR+1个PCLK周期,T0TC值增加1T0TC = 0;// 在MR0上中断和复位(T0TC值 = T0MR0值时触发中断和复位)T0MCR = (1<<0) | (1<<1);// 定时器0 ISR地址VICVectAddr3 = (unsigned long)timer0_isr;// 启用定时器0中断,使用槽位4VICVectCntl3 = (1<<5) | 4;// 在VIC中使能定时器0中断VICIntEnable = (1<<4);// 启动计时器0T0TCR = 0x01;
}// 定义外部中断处理函数0
void external_interrupt_handler0(void) __irq {delayms(10);EXTINT = 1 << 0; // 清除外部中断0的中断标志位VICVectAddr = 0;callback0();
}// 定义外部中断处理函数1
void external_interrupt_handler1(void) __irq {delayms(10);EXTINT = 1 << 1; // 清除外部中断1的中断标志位VICVectAddr = 0;callback1();
}//按键中断初始化
void init_external_isr(){// 配置外部中断引脚// 配置P0.14为ENIT1PINSEL0 |= (1 << 29);		//第29位配置为1PINSEL0 &= ~(0x01<<28); //第28位配置为0// 配置P0.16为ENIT0PINSEL1 |= (1 << 0);		//第0位配置为1PINSEL1 &= ~(0x01<<1);  //第1位配置为0IODIR0 &= ~(1<<16);// 配置外部中断触发方式EXTMODE |= (1 << 0) | (1 << 1); // 设置外部中断0和1为边沿触发模式EXTPOLAR |= (1 << 0) | (1 << 1); // 设置外部中断0和1为上升沿触发// 启用外部中断中断VICVectAddr0 = (unsigned)external_interrupt_handler0; // 设置中断处理函数0VICVectCntl0 |= (1 << 5) | 0x0E; 											// 设置为外部中断0并启用,EINT0中断编号为14VICIntEnable |= (1 << 14); 														// 启用外部中断0VICVectAddr1 = (unsigned)external_interrupt_handler1; // 设置中断处理函数1VICVectCntl1 |= (1 << 5) | 0x0F; 											// 设置为外部中断1并启用,EINT1中断编号为15VICIntEnable |= (1 << 15); 														// 启用外部中断1
}

led.h

#ifndef _LED_H_
#define _LED_H_#define PINSEL0 (*(volatile unsigned long *)0xE002C000)#define IO0PIN (*(volatile unsigned long *)0xE0028000)#define IO0DIR  (*(volatile unsigned long *)0xE0028008)void led_init();void led_on(unsigned char site);void led_off(unsigned char site);char get_led_status(unsigned char site);void led_operate(unsigned char site,unsigned char on_off);
#endif

led.c

#include "led.h"void led_init(){PINSEL0 = PINSEL0 & 0xffffff00;IO0DIR = IO0DIR | 0x0f;
}void led_on(unsigned char site){led_init();switch(site){case 0: IO0PIN &= ~(0x01);break;case 1:IO0PIN &= ~(0x01<<1);break;case 2: IO0PIN &= ~(0x01<<2);break;case 3: IO0PIN &= ~(0x01<<3);break;default:break;}
}void led_off(unsigned char site){led_init();switch(site){case 0: IO0PIN |= (0x01); 	 break;case 1:IO0PIN |= (0x01<<1); break;case 2: IO0PIN |= (0x01<<2); break;case 3: IO0PIN |= (0x01<<3); break;default:break;}
}char get_led_status(unsigned char site){switch(site){case 0: return (IO0PIN >> 0) & (0x01);case 1:return (IO0PIN >> 1) & (0x01);case 2: return (IO0PIN >> 2) & (0x01);case 3: return (IO0PIN >> 3) & (0x01);default:return -1;}
}
//on_off 0:on 1:off
void led_operate(unsigned char site,unsigned char on_off){if(on_off == 0){led_on(site);}else if(on_off == 1){led_off(site);}
}

delay.h

#ifndef _DELAY_H_
#define _DELAY_H_void delayms(unsigned int xms);
#endif

delay.c

#include "delay.h"void delayms(unsigned int xms){unsigned int i,j;for(i=xms;i>0;i--){for(j=2500;j>0;j--);}
}

2、应用程序

application.h

#ifndef _APPLICATION_H_
#define _APPLICATION_H_void timer0_callback();void external0_callback();void external1_callback();
#endif

application.c

#include "application.h"
#include "interrupt.h"#define uchar unsigned char
#define uint unsigned int
#define MAX_CYCLE 10
#define MIN_CYCLE 1uchar num = 0;
uchar time_cycle = 1;int main(void){led_operate(0,0);led_operate(1,1);led_operate(2,1);led_operate(3,1);init_timer0_isr();init_external_isr();set_interrupt_callback(0,external0_callback);set_interrupt_callback(1,external1_callback);set_interrupt_callback(2,timer0_callback);while(1);
}//外部中断0回调函数
void external0_callback(){if(time_cycle > MIN_CYCLE){time_cycle = time_cycle - 1;}
}//外部中断1回调函数
void external1_callback(){if(time_cycle < MAX_CYCLE){time_cycle = time_cycle + 1;}
}//定时器0中断回调函数
void timer0_callback(){num++;if(num>=time_cycle){num=0;if(get_led_status(0) == 0){led_operate(0,1);led_operate(1,0);}else if(get_led_status(1) == 0){led_operate(1,1);led_operate(2,0);}else if(get_led_status(2) == 0){led_operate(2,1);led_operate(3,0);}else if(get_led_status(3) == 0){led_operate(3,1);led_operate(0,0);}}
}

六、资料下载

源码&仿真电路&芯片手册下载地址:https://download.csdn.net/download/qq_54140018/89142182

 

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/310569.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【python】python天气气候数据抓取分析可视化(源码+数据+可视化+报告)【独一无二】

&#x1f449;博__主&#x1f448;&#xff1a;米码收割机 &#x1f449;技__能&#x1f448;&#xff1a;C/Python语言 &#x1f449;公众号&#x1f448;&#xff1a;测试开发自动化【获取源码商业合作】 &#x1f449;荣__誉&#x1f448;&#xff1a;阿里云博客专家博主、5…

【Bugku】sqli-0x1

1.打开靶场&#xff0c;进入实验场景 2.按F12查看源代码&#xff0c;发现有一个/?pls_help路径&#xff0c;在url后加上查看。 3.得到的php源码 首先&#xff0c;代码通过 error_reporting(0) 和 error_log(0) 关闭了错误报告&#xff0c;这可以防止攻击者从错误信息中获取敏…

EasyImage2.0 简单图床开源 多功能 简单易用图床系统源码

内容目录 一、详细介绍二、效果展示1.部分代码2.效果图展示 三、学习资料下载 一、详细介绍 支持API 支持仅登录后上传 支持设置图片质量 支持压缩图片大小 支持文字/图片水印 支持设置图片指定宽/高 支持上传图片转换为指定格式 支持限制最低宽度/高度上传 支持上传其他文件格…

hbase基础shell用法

HBase中用create命令创建表&#xff0c;具体如下&#xff1a; create student,Sname,Ssex,Sage,Sdept,course 此时&#xff0c;即创建了一个“student”表&#xff0c;属性有&#xff1a;Sname,Ssex,Sage,Sdept,course。因为HBase的表中会有一个系统默认的属性作为行键&#x…

【黑马头条】-day06自媒体文章上下架-Kafka

文章目录 今日内容1 Kafka1.1 消息中间件对比1.2 kafka介绍1.3 kafka安装及配置1.4 kafka案例1.4.1 导入kafka客户端1.4.2 编写生产者消费者1.4.3 启动测试1.4.4 多消费者启动 1.5 kafka分区机制1.5.1 topic剖析 1.6 kafka高可用设计1.7 kafka生产者详解1.7.1 同步发送1.7.2 异…

Golang | Leetcode Golang题解之第30题串联所有单词的子串

题目&#xff1a; 题解&#xff1a; func findSubstring(s string, words []string) (ans []int) {ls, m, n : len(s), len(words), len(words[0])for i : 0; i < n && im*n < ls; i {differ : map[string]int{}for j : 0; j < m; j {differ[s[ij*n:i(j1)*n]…

大模型用到的位置编码汇总(面试)

不同于RNN、CNN等模型&#xff0c;对于Transformer模型来说&#xff0c;位置编码的加入是必不可少的&#xff0c;因为纯粹的Attention模块是无法捕捉输入顺序的&#xff0c;即无法区分不同位置的Token。为此我们大体有两个选择&#xff1a;想办法将位置信息融入到输入中&#x…

4.15 网络编程

思维导图 #include <stdio.h> #include <string.h> #include <unistd.h> #include <stdlib.h> #include <sys/types.h> #include <sys/stat.h> #include <fcntl.h> #include <pthread.h> #include <semaphore.h> #inclu…

图神经网络

图的性质 聚类系数 C i E i T i C_i \frac{E_i}{T_i} Ci​Ti​Ei​​ E i E_i Ei​表示节点 i i i的邻居实际存在的边的数量&#xff0c; T i T_i Ti​表示节点 i i i的邻居可能&#xff08;最多&#xff09;存在的边的数量 理论溯源 聚类系数这一概念首先源于论文“Colle…

js 写 视频轮播

html代码 <div class"test_box"> <div class"test"> <a href"#"> <div class"test_a_box"> <div class"test_a_mask"></div> <div class"test_a_layer"> <div cla…

vite - WebAssembly入门

1. 初始化 vite 项目 1.1 安装 nvm&#xff08;可选&#xff09; brew update brew install nvm在 ~/.zshrc 添加 export NVM_DIR~/.nvm source $(brew --prefix nvm)/nvm.sh执行如下命令 source ~/.zshrc1.2 安装 node nvm install nodenvm ls -> …

【保姆级讲解Element UI】

&#x1f308;个人主页: 程序员不想敲代码啊 &#x1f3c6;CSDN优质创作者&#xff0c;CSDN实力新星&#xff0c;CSDN博客专家 &#x1f44d;点赞⭐评论⭐收藏 &#x1f91d;希望本文对您有所裨益&#xff0c;如有不足之处&#xff0c;欢迎在评论区提出指正&#xff0c;让我们共…

【练习】二分查找

1、704 &#xff08;1&#xff09;题目描述 &#xff08;2&#xff09;代码实现 package com.hh.practice.leetcode.array.demo_02;public class BinarySearch_704 {public int search(int[] nums, int target) {int i 0,j nums.length -1;while (i < j){int mid (ij) &…

语音智能客服机器人有什么优势?ai机器人部署

人工智能技术的进步&#xff0c;在不断的革新我们的工作和生活&#xff0c;同时&#xff0c;拥有人工智能技术的语音智能客服机器人在销售行业的工作熟悉程度也越来越好&#xff0c;那语音智能客服机器人有什么优势&#xff1f;我们一起来看看。 1、ASR语音文本转换 客户可通过…

Spring(24) Json序列化的三种方式(Jackson、FastJSON、Gson)史上最全!

目录 一、Jackson 方案&#xff08;SpringBoot默认支持&#xff09;1.1 Jackson 库的特点1.2 Jackson 的核心模块1.3 Maven依赖1.4 代码示例1.5 LocalDateTime 格式化1.6 统一配置1.7 常用注解1.8 自定义序列化和反序列化1.9 Jackson 工具类 二、FastJSON 方案2.1 FastJSON 的特…

蓝桥杯之注意事项

1.特殊求解的地方 2.一些数学公式 比如二叉树求全深度数值那道题 3.掌握有关库函数 #include<algorithm> 包含sort&#xff08;&#xff09;函数【排列函数】C sort()排序详解-CSDN博客&#xff0c;next_permutation()函数【求解全排列问题】求解数组大小sizeof(arr…

Vue项目实战:基于用户身份的动态路由管理

&#x1f31f; 前言 欢迎来到我的技术小宇宙&#xff01;&#x1f30c; 这里不仅是我记录技术点滴的后花园&#xff0c;也是我分享学习心得和项目经验的乐园。&#x1f4da; 无论你是技术小白还是资深大牛&#xff0c;这里总有一些内容能触动你的好奇心。&#x1f50d; &#x…

[开发日志系列]PDF图书在线系统20240415

20240414 Step1: 创建基础vueelment项目框架[耗时: 1h25min(8:45-10:10)] 检查node > 升级至最新 (考虑到时间问题,没有使用npm命令行执行,而是觉得删除重新下载最新版本) > > 配置vue3框架 ​ 取名:Online PDF Book System 遇到的报错: 第一报错: npm ERR! …

【JavaEE初阶系列】——网络原理之进一步了解应用层以及传输层的UDP协议

目录 &#x1f6a9;进一步讲应用层 &#x1f388;自定义应用层协议 &#x1f388;用什么格式组织 &#x1f469;&#x1f3fb;‍&#x1f4bb;xml(远古的数据组织格式) &#x1f469;&#x1f3fb;‍&#x1f4bb;json(当下最流行得一种数据组织格式) &#x1f469;&…

【vue】Vue3开发中常用的VSCode插件

Vue - Official&#xff1a;vue的语法特性&#xff0c;如代码高亮&#xff0c;自动补全等 Vue VSCode Snippets&#xff1a;自定义一些代码片段 v3单文件组件vdata数据vmethod方法 别名路径跳转 参考 https://www.bilibili.com/video/BV1nV411Q7RX