STM32智能家居项目esp8266上云OneNet【附源码+详细教程】

目录

一、硬件选材

二、OneNet使用教程

三、代码修改教程

四、添加数据流方法

五、项目工程(源码+元件清单+教程)

小白也能做,项目工程在后文可下载。

一、硬件选材

二、OneNet使用教程

拿到代码后肯定是连不上网的,因为源码里面的数据是我的 WIFI,所以需要修改 成你自己的 WIFI。下面就是步骤:

1.首先登陆中国移动物联网平台https://open.iot.10086.cn,注册用户(已有账号的话直接登陆即可)

2.点击控制台后,在产品服务里找到多协议接入

3.点击添加产品

然后随便填就行

进来之后,点击添加设备,鉴权信息等会需要用到

点击详情,可以看到我们需要用到的信息

至 此 , 服 务 器 端 准 备 完 成

三、代码修改教程

上面我们注册完后并添加了一个设备后,我们需要在代码中与它相关联,以下是代码中的 修改

1.将你的产品 ID,鉴权信息,设备 ID 写入进去

2.将你的 WIFI 名字和 WIFI 密码换成你的

例如下图这里的名字是:kkk 密码是:123123123

至此,你的 ESP8266 就可以连接到服务器了

四、添加数据流方法

当我们链接到云平台之后,怎么进行数据的交互呢? 代码里的每一个变量就是云平台的一个数据流。

1.在 onenet.c 里面添加数据流,这里指的是将变量的数值发送给平台

如果还想添加,就直接继续复制粘贴

memset(text, 0, sizeof(text)); 
sprintf(text, "fan,%d;", fan); //风扇开关的值 
strcat(buf, text);


把“fan”改成你想要的变量名就可以了


在云平台上看到的效果就是这样的

可以实时观察这些变量的数据

2.云平台向终端发送数据

以开关 LED 灯为例,先创建一个应用

然后编辑应用,添加一个开关按键

点击开关按钮,设置属性

固定的格式:变量名:{V}

任然是在 onenet.c 里面 每个框框里都是一个变量,格式是固定的 这里就是刚刚加入的按键

五、项目工程(源码+元件清单+教程)

https://pan.baidu.com/s/1ZWzxUbbCr_CDVJxYxKkJAg 
提取码:qi5a

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/346488.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【漏洞复现】宏景eHR openFile.jsp 任意文件读取漏洞

0x01 产品简介 宏景eHR人力资源管理软件是一款人力资源管理与数字化应用相融合,满足动态化、协同化、流程化、战略化需求的软件。 0x02 漏洞概述 宏景eHR openFile.jsp 接口处存在任意文件读取漏洞,未经身份验证攻击者可通过该漏洞读取系统重要文件(如…

第18篇 Intel FPGA Monitor Program的使用<一>

Q:Intel FPGA Monitor Program开发工具可以支持Terasic的FPGA开发板使用吗? A:Intel FPGA Monitor Program 是Intel提供的适用于 ARM* Cortex*-A9 处理器和 Nios II 处理器的完整软件开发环境,它包括编译工具以及完整的调试功能&…

【双指针算法】原地处理数组的双指针算法思想

移动零 题目中已经明确表示不能重新创建数组来辅助解题,因此只能对数组进行原地操作,即双指针算法思想。 算法思想: 题目要求我们将非0元素放在数组的左边,0元素放在数组的右边,同时保持非0元素的相对位置。 这种对…

【优选算法】详解target类求和问题(附总结)

目录 1.两数求和 题目: 算法思路: 代码: 2.!!!三数之和 题目 算法思路: 代码: 3.四数字和 题目: 算法思路: 代码: 总结&易错点&…

csdn上传图片失败解决办法

今天下午写笔记,上传图片的时候总是出现图片上传不成功。查询了下解决方案: C:\Windows\System32\drivers\etc ,使用管理员打开hosts文件加入: 49.7.22.7 csdn-img-blog.oss-cn-beijing.aliyuncs.com保存之后,&#x…

Mac怎么读取内存卡 Mac如何格式化内存卡

在今天的数字化时代,内存卡已经成为了我们生活中不可或缺的一部分。对于Mac电脑用户而言,正确地读取和管理内存卡中的数据至关重要。下面我们来看看Mac怎么读取内存卡,Mac如何格式化内存卡的相关内容。 一、Mac怎么读取内存卡 苹果电脑在读…

Nacos长轮询底层是怎么实现的?

点击下方“JavaEdge”,选择“设为星标” 第一时间关注技术干货! 免责声明~ 任何文章不要过度深思! 万事万物都经不起审视,因为世上没有同样的成长环境,也没有同样的认知水平,更「没有适用于所有人的解决方案…

Redis到底支不支持事务?

文章目录 一、概述二、使用1、正常执行:2、主动放弃事务3、全部回滚:4、部分支持事务:5、WATCH: 三、事务三阶段四、小结 redis是支持事务的,但是它与传统的关系型数据库中的事务是有所不同的 一、概述 概念: 可以一次执行多个命令,本质是一…

蓝牙安全入门——两道CTF题目复现

文章目录 蓝牙安全入门题目 low_energy_crypto获取私钥解密 题目 蓝牙钥匙的春天配对过程配对方法密钥分发数据加密安全漏洞和保护实际应用实际应用 蓝牙安全入门 🚀🚀最近一直对车联网比较感兴趣,但是面试官说我有些技术栈缺失,所…

CleanMyMac2024最新免费电脑Mac系统优化工具

大家好,我是你们的好朋友——软件评测专家,同时也是一名技术博主。今天我要给大家种草一个超级实用的Mac优化工具——CleanMyMac! 作为一个长期使用macOS的用户,我深知系统运行时间长了,缓存文件、日志、临时文件等都会…

【数据结构与算法 经典例题】括号匹配问题

💓 博客主页:倔强的石头的CSDN主页 📝Gitee主页:倔强的石头的gitee主页 ⏩ 文章专栏:《数据结构与算法 经典例题》C语言 期待您的关注 ​​ 目录 一、问题描述 二、解题思路 🍃破解之道 🍃…

【C#线程设计】3:threadpool

实现: (1).控件:group Box,text Box,check Box,label,botton,richtextbox 控件拉取见:https://blog.csdn.net/m0_74749240/article/details/139409510?spm1…

全球AI速递6.11

1.快手:发布“可灵”视频生成大模型。 2.OPPO:计划让约 5 千万用户的手机搭载生成式 AI。 3.腾讯:发布了针对混元文生图开源大模型(混元DiT)加速库。 4.Stability AI:开源Stable Audio Open AI 模型&am…

重新认识Word —— 制作简历

重新认识Word —— 制作简历 PPT的图形减除功能word中的设置调整页边距进行排版表格使用 我们之前把word长排版文本梳理了一遍,其实word还有另外的功能,比如说——制作简历。 在这之前,我们先讲一个小技巧: PPT的图形减除功能 …

重学Spring总结

1、Spring框架的诞生 文章目录 1、Spring框架的诞生1、BeanFactory 快速入门1.1、BeanFactory完成了loC思想的实现:1)导入Spring相关的依赖:2)定义Uservice接口及其UserviceImpl实现类;3)创建Bean的配置资源文件,文件名最好为&…

Windows 服务器Nginx 下载、部署、配置流程(图文教程)

不定期更新 目录 一、下载Nginx安装包 二、上传安装包 三、启动Nginx 四、Nginx常用命令 五、Nginx(最小)配置详解 六、Nginx(基础)配置详解 七、反向代理 八、负载均衡 九、动静分离 十、报错 一、下载Nginx安装包 四…

vue29:普通组件的注册使用

<template><div class"App"><!-- 头部组件 --><HmHeader></HmHeader><!-- 主体组件 --><HmMain></HmMain><!-- 底部组件 --><HmFooter></HmFooter><!-- 如果 HmFooter tab 出不来 → 需要配置…

通过技术优化财务规划报告,重塑企业体验

财务报告使企业的管理层能够及时、准确、清晰且一致地了解整个企业的财务业绩和风险机遇。它促进了企业内部利益相关者之间的沟通&#xff0c;从而支持基于数据驱动的洞察力提升和战略决策。但财务报告往往需要占用大量的时间来运行和准备&#xff0c;且可能使最终结论偏离核心…

腾讯云大数据ES Serverless

Elasticsearch&#xff1a;日志和搜索场景首选解决方案。 技术特点&#xff1a;分布式、全文搜索和数据分析引擎&#xff0c;可以对海量数据进行准实时地存储、搜索和统计分析。 ES的技术栈一共包含四个组件&#xff1a; 其中最核心的是Elasticsearch&#xff0c;可用于数据…

LVGL移植和图片显示

最近闲来无事&#xff0c;偶尔刷到了移植LVGL的教程&#xff0c;今天肝完了机械原理又移植完LVGL库&#xff0c;真是收获满满的一天&#xff0c;先接一杯水去。 回来了&#xff0c;发个朋友圈高级一下&#xff0c;好困。 lvgl v8.3移植及组件使用_lvgl界面编辑器-CSDN博客htt…