Verilog 实现状态机自动售卖机

Verilog 实现状态机自动售卖机

教学视频:https://www.bilibili.com/video/BV1Ve411x75W?p=33&spm_id_from=pageDriver&vd_source=19ae31dff4056e52d2729a4ca212602b

功能需求

使用1元、2元、5元面值的纸币进行支付,获取6元的物品,不设找零

  • 输入:1元,2元,5元
  • 判定条件:>=6元
  • 输出:可以交货(输入额满足判断条件)

代码思路:使用状态机进行逻辑设计(详细教学可以看最上面的链接)

module vlg_design(input 		clk			,input 		rst_n		,input 		one_yuan	,input		two_yuan	,input 		five_yuan	,output 	reg done
);//变量声明
localparam 	IDLE	=4'd0 ,IN_1 	=4'd1 ,IN_2 	=4'd2 ,IN_3 	=4'd3 ,IN_4 	=4'd4 ,IN_5 	=4'd5 ,IN_6 	=4'd6 ,DONE 	=4'd7 ;localparam	MONEY_PAY = 4'd6;reg [3:0] cstate,nstate;
reg [3:0] money_sum;//时序逻辑,锁存状态
always @(posedge clk or negedge rst_n) beginif(!rst_n)cstate <= IDLE;elsecstate <= nstate;
end//组合逻辑实现状态变迁
always @(*) begincase(cstate)IDLE : beginif(one_yuan||two_yuan||five_yuan)nstate = IN_1;elsenstate = IDLE;endIN_1 : beginif(one_yuan||two_yuan||five_yuan)nstate = IN_2;elsenstate = IN_1;end		IN_2 : beginif(money_sum >= MONEY_PAY)nstate = DONE;else if(one_yuan||two_yuan||five_yuan)nstate = IN_3;elsenstate = IN_2;end	IN_3 : beginif(money_sum >= MONEY_PAY)nstate = DONE;else if(one_yuan||two_yuan||five_yuan)nstate = IN_4;elsenstate = IN_3;end	IN_4 : beginif(money_sum >= MONEY_PAY)nstate = DONE;else if(one_yuan||two_yuan||five_yuan)nstate = IN_5;elsenstate = IN_4;end	IN_5 : beginif(money_sum >= MONEY_PAY)nstate = DONE;else if(one_yuan||two_yuan||five_yuan)nstate = IN_6;elsenstate = IN_5;end	IN_6 : beginif(money_sum >= MONEY_PAY)nstate = DONE;else if(one_yuan||two_yuan||five_yuan)nstate = DONE;elsenstate = IN_6;end	DONE : nstate = IDLE;default : ;endcase
end//当前状态输入钱币的累计计算
always @(posedge clk or negedge rst_n) beginif(!rst_n)money_sum <= 'b0;else begincase(cstate)DONE : money_sum <= 'b0;default : beginif(one_yuan)money_sum <= money_sum + 4'd1;else if(two_yuan)                 money_sum <= money_sum + 4'd2;else if(five_yuan)                money_sum <= money_sum + 4'd5;else ;endendcaseend
end//状态机的输出赋值
always @(posedge clk or negedge rst_n) beginif(!rst_n)done <= 'b0;else if(cstate == DONE)done <= 1'b1;elsedone <= 'b0;
endendmodule

测试文件:

`timescale 1ns/1psmodule tb_top();reg clk;
reg rst_n;
reg one_yuan;
reg two_yuan;
reg five_yuan;wire done;vlg_design u_vlg_design(.clk		(clk),.rst_n		(rst_n),.one_yuan	(one_yuan),.two_yuan	(two_yuan),.five_yuan	(five_yuan),.done       (done)
);//产生时钟
initial clk = 1;
always #10 clk = ~clk;integer i;//测试激励产生
initial beginrst_n = 0;one_yuan	=0 ;two_yuan	=0 ;five_yuan	=0 ;#200;rst_n = 1;for(i=0;i<50;i=i+1)begintask_random_pay();end#5000;endinteger random_data;task task_random_pay;begin #1000;random_data = {$random}%3;@(posedge clk);if(random_data == 0)one_yuan <= 1'd1;else if(random_data == 1)two_yuan <= 1'd1;else if(random_data == 2)five_yuan <= 1'd1;@(posedge clk);one_yuan	<=0 ;two_yuan	<=0 ;five_yuan	<=0 ;end
endtaskalways @(posedge clk) beginif(one_yuan)$display("Pay 1 yuan.");else if(two_yuan)$display("Pay 2 yuan.");else if(five_yuan)$display("Pay 5 yuan.");else if(done)$display("Got you want.\n*********\n");else ;
endendmodule

仿真结果

波形:

在这里插入图片描述

结果:

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/112001.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

在el-tree懒加载中进行局部刷新

在进行懒加载的树组件中&#xff0c;操作子节点新增、修改以及删除操作时&#xff0c;需要对树组件进行局部刷新&#xff1a; /* 懒加载 */ async loadNode(node, resolve) {if (node.level 0) {// 异步加载根节点数据const data await fn({ parentId: });resolve(data);thi…

linux中学习控制进程的要点

1. 进程创建 1.1 fork函数 #include <unistd.h> pid_t fork(void); 返回值&#xff1a;自进程中返回0&#xff0c;父进程返回子进程id&#xff0c;出错返回-1 进程调用fork&#xff0c;当控制转移到内核中的fork代码后&#xff0c;内核会做以下操作 分配新的内存块和…

19.CSS雨云动画特效

效果 源码 <!DOCTYPE html> <html lang="en"> <head><meta charset="UTF-8"><title>Cloud & Rain Animation</title><link rel="stylesheet" href="style.css"> </head> <bo…

专题:平面、空间直线参数方程下的切线斜率问题

本文研究平面、空间直线在参数方程形式下&#xff0c;切线斜率&#xff08;即导数&#xff09;如何表示的问题。 如上图所示。 设 y f ( x ) &#xff0c; x φ ( t ) &#xff0c; y ψ ( t ) 当 t t 0 时&#xff0c; x x 0 &#xff0c; y y 0 &#xff0c;即点 A 坐…

最简单vue获取当前地区天气--高德开放平台实现

目录 前言 一、注册成为高德平台开发者 二、注册天气key 1.点击首页右上角打开控制台 2.创建新应用 三、vue项目使用 1.打开vue项目找到public下的index.html&#xff0c;如果是vue3的话直接在主目录打开index.html文件就行&#xff0c;主要就是打开出口文件 ​编辑 2.根据高德…

元矿山下的音视频应用

// 近年来&#xff0c;矿业的技术和管理模式随着元宇宙的火爆和自动驾驶技术的发展逐渐变化、升级&#xff0c;进而衍生出元矿山的概念&#xff0c;音视频技术也在其中成为了关键一环。LiveVideoStackCon 2023 上海站邀请了来自希迪智驾的任思亮&#xff0c;为大家分享希迪智…

无限计算力:探索云计算的无限可能性

这里写目录标题 前言云计算介绍服务模型&#xff1a; 应用领域&#xff1a;云计算主要体现在生活中的地方云计算未来发展的方向 前言 云计算是一种基于互联网的计算模型&#xff0c;通过它可以实现资源的共享、存储、管理和处理。它已经成为许多个人、企业和组织的重要技术基础…

MySQL数据库学习【基础篇】

&#x1f4c3;基础篇 下方链接使用科学上网速度可能会更加快一点哦&#xff01; 请点击查看数据库MySQL笔记大全 通用语法及分类 DDL: 数据定义语言&#xff0c;用来定义数据库对象&#xff08;数据库、表、字段&#xff09;DML: 数据操作语言&#xff0c;用来对数据库表中的…

mybatis与spring集成与spring aop集成pagehelper插件

Mybatis与Spring的集成 Mybatis是一款轻量级的ORM框架&#xff0c;而Spring是一个全栈式的框架&#xff0c;二者的结合可以让我们更加高效地进行数据持久化操作。 Mybatis与Spring的集成主要有两种方式&#xff1a;使用Spring的Mybatis支持和使用Mybatis的Spring支持。 使用…

再获殊荣 | 美格智能高算力AI模组SNM970荣获物联网行业“通信技术创新奖”

8月28日&#xff0c;由高科技行业门户OFweek维科网主办的OFweek 2023&#xff08;第八届&#xff09;物联网产业大会暨评选颁奖典礼在深圳福田会展中心隆重举行。会上正式公布了OFweek 2023&#xff08;第八届&#xff09;物联网与人工智能行业年度评选奖项&#xff0c;美格智能…

springmvc没有绿标,怎么配置tomcat插件运行?

一、添加插件后&#xff0c;刷新&#xff0c;自动从maven仓库下载tomcat插件 二、写好项目后&#xff0c;添加tomcat配置 三、即可点击绿标运行

flutter高德地图大头针

1、效果图 2、pub get #地图定位 amap_flutter_map: ^3.0.0 amap_flutter_location: ^3.0.0 3、上代码 import dart:async; import dart:io;import package:amap_flutter_location/amap_flutter_location.dart; import package:amap_flutter_location/amap_location_option…

用变压器实现德-英语言翻译【02/8】: 位置编码

一、说明 本文是“用变压器实现德-英语言翻译”系列的第二篇。它从头开始引入位置编码。然后&#xff0c;它解释了 PyTorch 如何实现位置编码。接下来是变压器实现。 二、技术背景 位置编码用于为序列中的每个标记或单词提供相对位置。阅读句子时&#xff0c;每个单词都依赖于它…

git 操作

merge操作 git checkout -b bugFix git commit //在bugFix branch上操作&#xff0c;并且commit git checkout main git commit //在main上操作&#xff0c;并且commit git merge bugFix //此时on main branch&#xff0c;如果在bugFix branch执行merge bugFix&#…

fastadmin think-queue supervisor配置

起因是微信支付回调需要同时做发货处理&#xff0c;但是发货接口不能影响,需要队列进行异步处理1. 1.fastadmin 后台购买queue插件(基于think-queue消息队列) 2.代码 2.1 添加文件&#xff1a;application---->extra--->queue.php 内容&#xff1a;我这里用的数据库做…

leetcode 42. 接雨水

2023.8.29 本题可以用双指针做&#xff0c;求出每一列能盛的雨水&#xff0c;再相加即可。不过暴力法会超时&#xff0c;需要优化。 双指针&#xff08;暴力&#xff09;&#xff1a; class Solution { public:int trap(vector<int>& height) {int ans 0;for(int …

(牛客周赛 9)C.小美的01串翻转

题目&#xff1a; 样例&#xff1a; 输入 10001 输出 8 思路&#xff1a; 这里是连续的找子串&#xff0c;权值的意思是 我们取反操作了多少次&#xff0c; 我们有假设长度是 5 &#xff0c;字符串是 10001 那么相邻不一样的字符串有两种情况 01010 或者 10101&#xf…

高等职业学校物联网实训室建设方案

一、概述 1.1专业背景 物联网&#xff08;Internet of Things&#xff09;被称为继计算机、互联网之后世界信息产业第三次浪潮&#xff0c;它并非一个全新的技术领域&#xff0c;而是现代信息技术发展到一定阶段后出现的一种聚合性应用与技术提升&#xff0c;是随着传感网、通…

耐世特Nexteer EDI解决方案

耐世特Nexteer曾经为美国通用汽车全资子公司&#xff0c;是一家集研发、制造、销售于一体的全球化集团公司。耐世特汽车系统公司是转向系统及相关先进技术的全球供应商。该公司为60多家汽车制造商设计、制造、销售电动助力转向器、液压助力转向器、转向管柱和传动轴产品&#x…

GNU make系列之写Makefile文件(1)

一.欢迎来到我的酒馆 在本章节介绍如何写Makefile文件。 目录 一.欢迎来到我的酒馆二.Makefile包含了什么三.引入其它的Makefile文件四.MAKEFILES变量 二.Makefile包含了什么 2.1 Makefile包含了5种类型&#xff1a;显式的规则&#xff0c;隐式的规则&#xff0c;变量的定义&am…