CRC原理介绍及STM32 CRC外设的使用

1. CRC简介

循环冗余校验(英语:Cyclic redundancy check,简称CRC),由 W. Wesley Peterson 于 1961 年首次提出的一种纠错码理论。

CRC是一种数据纠错方法,主要应用于数据通信或者数据存储的场合,用来检测或校验数据传输或者数据存储后可能出现的错误,特别是擅长检测由传输通道中的噪声引起的常见错误。

CRC是数据通信领域中最流行的一种错误检测方法,传输过程中的数据信息字段长度,以及校验码的字段长度可以任意自定义的指定,但是通信双方必须使用同一标准的CRC校验。

2. CRC模型及其相关概念

很多大佬们在研究CRC算法的时候,设计了各种CRC的算法模型,这些模型可以适用不同的校验场合,比如 CRC-16 ,CRC-32 等不同的算法模型。

一般我们在具体的项目中,要使用CRC校验的时候,首先就要选择合适的算法模型,根据选定的CRC算法模型,才能计算得到对应的CRC校验码。然后,通信双方约定好使用的CRC校验模型,才能保证校验的一致性。

下图截图自一个CRC校验码在线计算工具网站的常用的CRC算法模型:

在这里插入图片描述

注:上面的多项式表示中,是16进制数,而且是省略了最高位的。

这些CRC算法模型中,有几个重要的组成部分,或者说计算CRC校验码时,需要知道的一些概念,如多项式公式、16进制多项式、宽度、初始值、结果异或值等等。

2.1 多项式公式

多项式公式,是CRC校验中最重要的一个概念。

任意的二进制数都可以构造一个与其对应的二进制系数多项式公式。

比如,二进制:10011b,它对应的二进制系数多项式就是:
P ( x ) = x 4 + x + 1 P(x) = x^4 + x + 1 P(x)=x4+x+1

这个公式怎么来的呢?

# 二进制数      1 0 0 1 1
# 下标         4 3 2 1 0
# 二进制多项式   1 * X4 + 0 * X3 + 0 * X2 + 1 * X1 + 1 * X0# 所以最后得出的多项式公式就是:X4 + X + 1

成为多项式要满足的条件

  • 最高位和最低位都必须是1
  • 当数据在传输过程中出错时,CRC的校验码不应该是0(也就是要有余数)
  • 该多项式要有最大的错误检测能力

2.2 16进制的多项式表示

一般在计算CRC校验码的时候,我们习惯使用16进制的多项式,这个16进制的多项式,是被省略了最高位 1 的。

因为前面说了,多项式的最高位和最低位,都必须是1,所以一般都会把这个多项式的最高位给省略掉(这里我也没搞懂,反正当它是一个不可描述的规定吧)。

比如前面介绍的,P(x) = X4 + X + 1 ,这个多项式公式,他对应的CRC模型就是 CRC-4/ITU ,然后它的多项式使用16进制表示就是 0x03 ,本来这个多项式应该是0x13的,但是省略了最高位,所以变成了 0x03.

2.3 位宽

位宽,指的就是CRC校验码的二进制位数。这个是和你选择的CRC模型有关的,你选择不同的CRC模型,那么CRC的多项式公式就不一样,所以对应的CRC校验码数据位宽也不一样。

比如前面介绍的多项式公式 :P(x) = X4 + X + 1 ,那么CRC的校验码位宽就是 4 个二进制位数。因为多项式的最高位为4.

2.4 CRC变体相关的概念

前面介绍的3个参数概念,是CRC模型中必须要有的概念。其他一些概念,比如初始值、输入数据反转,输出数据反转,结果值是否异或处理等,这些都属于CRC变体的处理。

如果没有特意规定的话,那么这些参数默认都是没有的,比如初始值没有规定,则默认为0。没有说明是否反转,那么一般不会对数据进行反转的操作等。

2.4.1 初始值

CRC模型中,有些模型规定CRC的初始值不是0。这个初始值,其实就是CRC校验码的计算过程中,在第一次进行异或计算时,是否有一个初始值。这个如果看C言语实现CRC计算过程就比较直观。

初始值的数据位宽和CRC校验码的位宽是一样的。

2.4.2 输出结果值异或

计算得到了CRC校验码后,如果规定了输出的结果值要进行异或的数不为0,那么最后得到CRC校验码时,还得进行结果值异或这步操作。这样才能最终得到CRC校验码

2.4.3 输入输出值反转

在一些CRC模型中,还会规定输入值与输出值是否反转。

输入值反转,就是在计算CRC校验码之前,是否对原始数据(待测数据)进行按位反转。比如:1010001,反转之后就是:1000101

输出值反转,就是最终得到的CRC结果值,是否进行反转操作。

3. 模2运算

CRC校验的计算理论源自多项式除法,它是一种二进制除法,被叫做模2除法。待检测的数据除以多项式,最终得到的余数就是CRC检验码。

模2运算,是一种二进制运算,是二进制编码理论中的运算基础。这种运算和我们以前学的四则运算的规则不同,模2运算不考虑进位、借位这些规则,它有着新的运算规则。

模2运算也有加减乘除,下面是它们的运算示例。

3.1 模2加法

加法规则:1+1=0 0+0=0 1+0=1 0+1=1

  1 0 1 0
+ 1 1 0 0
-----------0 1 1 0

3.2 模2减法

减法规则:0-0=0 1-1=0 0-1=1 1-0=1

  1 0 1 0
- 1 1 0 0
-----------0 1 1 0

3.3 模2乘法

乘法规则:0×0=0 0×1=0 1×0=0 1×1=1

模2乘法与普通的乘法一样的演算规则,只不过在按位相加时,是按照模2加法规则进行的。

       1 0 1 1x   1 0 1----------------1 0 1 10 0 0 01 0 1 1----------------1 0 0 1 1 1

3.4 模2除法

除法规则:0÷1=0 1÷1=1

模2除法与普通的除法也是一样的演算规则,但是就是在按位相减时,是按照模2减法规则进行的。

                1 1 1 0 ()|-----------------
1 0 1 1 | 1 1 0 0 1 0 0 (被除数)1 0 1 1-----------------0 1 1 1 11 0 1 1-----------------0 1 0 0 01 0 1 1-----------------0 0 1 1 0 (最后余数)

从上面的模2运算示例可以看出一些规律:

  1. 模2的加减法运算结果是一样的,他和C语言的异或运算有着一样的规则。所以我们软件实现这个算法时就是使用异或实现的。
  2. 模2的乘除法运算,与普通的运算有着类似的演算规则。但是在乘法时乘积相加,除法时余数和除数相减,就需要安装模2加减法规则运算。
  3. 当余数的位数小于除数时,模2除法停止运算
  4. 当被除数,或者在除法进行过程中得到的部分余数,它们与除数位数一样多,那么商1,否则商0.

4. CRC校验码的计算和检测原理

4.1 CRC校验码的计算

CRC校验码的计算,其实就是模2除法的运算过程。

在计算过程中,我们首先要知道二进制多项式,这个多项式其实就是除数,而待校验的数据就是被除数,最终进行模2除法运算得到的余数,就是CRC校验码。

下面以多项式: P(x) = x^4 + x + 1 为例,该多项式对应的二进制数就是:10011 ,进行计算演示。

第一步:原始数据补充 n 个 0

假设要进行编码的原始数据为:1100110,而前面约定好了的多项式的最高位是4,所以CRC校验码的位宽就是4。所以我们先假设余数是 0000 四个0,补充在原始数据的后面,那么最终参与计算的数就是:11001100000

第二步:进行模2除法运算

1 1 0 0 1 1 0 0 0 0 0   (原始数据,后面加了4个0)1 0 0 1 1               (多项式)
----------------------------------0 1 0 1 0 11 0 0 1 1 
----------------------------------0 0 1 1 0 0 01 0 0 1 1 
----------------------------------0 1 0 1 1 01 0 0 1 1 
----------------------------------0 0 1 0 1 0 01 0 0 1 1 
----------------------------------0 0 1 1 1

当最终计算得到的余数的位数,小于多项式的位数的时候,运算停止,然后得到的余数就是CRC的校验码。

在数据传输过程中,就会把这个校验码放到原始数据的后面,组成一个新的数:11001100111 ,发送给接收方。当接收方在接收到这个数据后,就会进行CRC校验,也就是除以约定好的多项式,如果最终的余数为0,那么说明接收方接收的数据正确。

4.2 CRC校验检测原理

上面介绍计算CRC校验码说了,我们首先要约定好收发双方的除数,这个除数其实就是多项式。进行校验检测的大概过程就是:

(1) 先约定好收发双方选择的CRC多项式 多项式,这个多项式其实就是计算过程中的除数。

(2) 在待校验的数据(可看作是发送方的数据)后面加上 n 个0,这个 n 是多少取决于你所选择的多 项式。比如你选择的多项式是:P(x) = x^4 + x + 1 。那么CRC检验码的位宽就是4,也就是说你要补4个0

(3) 对待校验数据进行模2除法运算,得出的余数就是CRC校验值。

(4) 然后把CRC检验码添加到待检验数据的末尾。这样就组成了一个新的数了,这个是是添加了CRC校验码的。然后把这个新的数发送给接收方。

(5) 接收方,把接收到的数据,也进行模2除法的计算过程,如果余数为0,那么接收正确,如果不为0,那么数据在传输过程中出错。

5. CRC校验的软件代码

我们前面一直说了,CRC校验码的计算,其实就是模2除法。然后模2除法,对应到C言语中来,那就可以通过异或和移位操作来实现。

所以,CRC算法的软件实现,主要就是异或和移位操作实现的。实现方法主要有两种: 按位校验和查表。按位校验法消耗更多的CPU算力,查表法则消耗更多的RAM空间。

不同的CRC模型,按位校验法有不同的算法实现,主要是CRC变体的处理,初始值的不同等。不过也是有相似的规律,大致的代码实现过程是相同的。

网上也有大佬们已经实现了的各种CRC模型的算法库,我这里给出一个网上比较全的CRC算法库,如果有我们需要软件实现CRC校验的话,可以去移植过来。

LibCRC官网:https://www.libcrc.org/

LibCRC github仓库:https://github.com/lammertb/libcrc

还有下面这个,主要是按位校验法实现的CRC代码库:

https://github.com/whik/crc-lib-c

5.1 软件实现的代码片段

下面是摘抄自 wiki 的其中一种按位校验方式实现的CRC算法,大致的代码思路如下:

function crc(byte array string[1..len], int len) 
{remainderPolynomial := 0  // 多项式的初始值// 这里有一个流行的变体对剩余多项式进行补充,比如输入数据是否进行反转for i from 1 to len{//  这个步骤要看不同的CRC模型,有不同的处理。n是CRC的位宽,如果小于8的位宽,不用移位remainderPolynomial := remainderPolynomial xor (string[i] * (n << 8))for j from 1 to 8 {    // 每个字节是 8 bitif (remainderPolynomial最高位为1){remainderPolynomial := (remainderPolynomial << 1) xor generatorPolynomial(多项式)}else {remainderPolynomial := (remainderPolynomial << 1)}}}// 这里有一个流行的变体对剩余多项式进行补充,比如是否对CRC校验码进行输出反转,进行异或处理return remainderPolynomial
}

基本上,按位校验法的CRC代码实现,就是上面的这个套路。

5.2 CRC32-MPEG-2 模型的代码实现

这里给出一个 CRC32-MPEG-2 这个模型的CRC代码实现。

uint32_t crc32_mpeg2(uint8_t data[], uint32_t length)
{uint32_t crc = 0xffffffff;for (int i = 0; i < length; i++){crc = crc ^ (data[i] << 24);for (int j = 0; j < 8; j++){if ( crc & 0x80000000 ){crc = (crc << 1) ^ 0x04C11DB7;}else{crc = crc << 1;}}}return crc;
}

上面这个模型,其实也是一些MCU硬件的CRC实现的模型,比如STM32、APM32的MCU。

6. STM32的CRC外设使用

STM32 的 CRC 外设,使用的算法模型是 CRC32-MPEG-2

STM32 CRC 的数据位宽为 32 位,十六进制多项式为 0x4C11DB7, INIT=0xFFFFFFFF, REFIN=false,REFOUT=false, XOROUT=0x00000000

对于 STM32 CRC外设的使用也很简单,在使能了CRC外设时钟之后,就可以调用SDK(我使用的是STM32的标准固件库函数)提供的CRC计算函数,然后就可以得到对应数据的CRC校验码了。

下面以 STM32F407 为例,使用CRC外设计算校验码的代码:

static uint32_t CRC_Test_Buff[2] = {0x01, 0x02};int main(void)
{uint32_t uCRCValue = 0;/* Enable CRC Periph clock */RCC_AHB1PeriphClockCmd(RCC_AHB1Periph_CRC, ENABLE);/* Resets the CRC Data register */CRC_ResetDR();/* Calculate the 32-bit CRC value */uCRCValue = CRC_CalcBlockCRC(CRC_Test_Buff, sizeof(CRC_Test_Buff) / 4);printf("CalculateBlockCRC = 0x%08X \r\n", uCRCValue);while (1){}
}

其中要注意的是,CRC_CalcBlockCRC 这个函数提供的输入数据类型是 32 位 的。

运行上面的代码输出结果如下:

在这里插入图片描述

然后我们到CRC校验码的在线计算工具,验证下我们使用STM32 CRC外设计算得到的校验码是否一致。计算结果如下图:

在这里插入图片描述

我们要选择的参数模型是, CRC32-MPEG-2 这个,输入的数据类型要注意一下是16进制,而且是1个字节。这个和我们STM32的代码是4个字节的数据不同,要自己拆分为1个字节输入到那个框框里面。

然后最终的计算结果是:0x298BE7BA ,这个值和我们使用 STM32 外设计算出来的结果是一致的。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/128440.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

《Go语言在微服务中的崛起:为什么Go是下一个后端之星?》

&#x1f337;&#x1f341; 博主猫头虎&#x1f405;&#x1f43e; 带您进入 Golang 语言的新世界✨✨&#x1f341; &#x1f984; 博客首页——&#x1f405;&#x1f43e;猫头虎的博客&#x1f390; &#x1f433; 《面试题大全专栏》 &#x1f995; 文章图文并茂&#x1f…

【云原生系列】Docker学习

目录 一、Docker常用命令 1 基础命令 2 镜像命令 2.1 docker images 查看本地主机的所有镜像 2.2 docker search 搜索镜像 2.3 docker pull 镜像名[:tag] 下载镜像 2.4 docker rmi 删除镜像 2.5 docker build 构建镜像 3 容器命令 3.1 如拉取一个centos镜像 3.2 运行…

leetcode897. 递增顺序搜索树(java)

递增顺序搜索树 题目描述中序遍历代码演示 递归专题 题目描述 难度 - 简单 LC - 897. 递增顺序搜索树 给你一棵二叉搜索树的 root &#xff0c;请你 按中序遍历 将其重新排列为一棵递增顺序搜索树&#xff0c;使树中最左边的节点成为树的根节点&#xff0c;并且每个节点没有左子…

【Linux】进程间通信(匿名管道、命名管道、共享内存等,包含代码示例)

进程间通信 前言正式开始理解进程间通信一些标准管道原理管道演示匿名管道代码演示原理进程池管道大小 命名管道演示代码分配消息例子 systemV共享内存共享内存流程获取key值shm的创建shm的删除关联去关联完整流程演示开始通信 systemV 消息队列基于对共享内存的理解几个概念 前…

Kafka3.0.0版本——消费者(手动提交offset)

目录 一、消费者&#xff08;手动提交 offset&#xff09;的概述1.1、手动提交offset的两种方式1.2、手动提交offset两种方式的区别1.3、手动提交offset的图解 二、消费者&#xff08;手动提交 offset&#xff09;的代码示例2.1、手动提交 offset&#xff08;采用同步提交的方式…

解决ul元素不能跟div同一行显示的办法

现象如下&#xff1a; html结构如下&#xff1a; 可以看到div和ul是同级元素。 为什么这里ul换行了呢&#xff01; 这里要敲黑板了&#xff01; 因为ul是块级元素&#xff01;也就是独占一行&#xff0c;跟div一样。 如果需要ul跟div在同一行显示&#xff0c;则要求ul前面相…

基于改进二进制粒子群算法的含需求响应机组组合问题研究(matlab代码)

目录 1 主要内容 2 部分代码 3 程序结果 4 下载链接 1 主要内容 该程序复现《A Modified Binary PSO to solve the Thermal Unit Commitment Problem》第五章内容&#xff0c;主要做的是一个考虑需求响应的机组组合问题&#xff0c;首先构建了机组组合问题的基本模型&#x…

一文了解Android App Bundle 格式文件

1. Android App Bundle 是什么&#xff1f; 从 2021 年 8 月起&#xff0c;新应用需要使用 Android App Bundle 才能在 Google Play 中发布。 Android App Bundle是一种发布格式&#xff0c;打包出来的格式为aab&#xff0c;而之前我们打包出来的格式为apk。编写完代码之后&a…

vue3:5、组合式API-reactive和ref函数

<script setup> /* reactive接收一个对象类型的数据&#xff0c;返回一个响应式的对象 *//*** ref:接收简单类型或复杂类型&#xff0c;返回一个响应式对象* 本质&#xff1a;是在原有传入数据的基础上&#xff0c;外层报了一层对象&#xff0c;包成了复杂类型* 底层&…

Python小知识 - 如何使用Python进行机器学习

如何使用Python进行机器学习 Python是一种解释型、面向对象、动态数据类型的高级程序设计语言。 机器学习是人工智能的一个分支&#xff0c;是让计算机自动“学习”。学习的过程是从经验E中获得知识K。经验E可以是一个数据集&#xff0c;比如一个图像数据集。知识K可以是计算机…

07_瑞萨GUI(LVGL)移植实战教程之LVGL对接EC11旋转编码器驱动

本系列教程配套出有视频教程&#xff0c;观看地址&#xff1a;https://www.bilibili.com/video/BV1gV4y1e7Sg 7. LVGL对接EC11旋转编码器驱动 本次实验我们向LVGL库中对接EC11旋转编码器驱动&#xff0c;让我们能通过EC11旋转编码器操作UI。 7.1 复制工程 上次实验得出的工…

景区AR虚拟三维场景沉浸式体验成为新兴的营销手段

科技的迅速崛起正在改变我们的世界&#xff0c;旅游业也在这股浪潮中掀起了一场全新的变革。增强现实(AR)技术正成为旅行中的一股强大力量&#xff0c;通过增添趣味和交互性&#xff0c;为旅程注入了前所未有的活力。本文将带您深入了解AR如何为旅游带来全新的体验&#xff0c;…

Docker 实现 MySQL 一主一从配置

1、新建主服务器容器实例&#xff0c;端口&#xff1a; 3307 docker run \ -p 3307:3306 \ --name mysql-master \ -v /var/docker/mysql-master/log:/var/log/mysql \ -v /var/docker/mysql-master/data:/var/lib/mysql \ -v /var/docker/mysql-master/conf:/etc/mysql \ --p…

【EI会议征稿】第三届机械自动化与电子信息工程国际学术会议(MAEIE 2023)

第三届机械自动化与电子信息工程国际学术会议&#xff08;MAEIE 2023&#xff09; 第三届机械自动化与电子信息工程国际学术会议&#xff08;MAEIE 2023&#xff09;将于2023年12月15-17日在江苏南京举行。本会议通过与业内众多平台、社会各团体协力&#xff0c;聚集机械自动…

【微信读书】数据内容接口逆向调试01

需求爬取微信读书的某一本书的整本书的内容 增强需求&#xff0c;大批量爬取一批书籍内容 众所周知微信读书是一个很好用的app&#xff0c;他上面书籍的格式很好&#xff0c;质量很高。 本人充值了会员但是看完做完笔记每次还得去翻很不方便&#xff0c;于是想把书籍内容弄下…

Visual Studio 线性表的链式存储节点输出引发异常:读取访问权限冲突

问题&#xff1a; 写了一个线性表的链式存储想要输出&#xff0c;能够输出&#xff0c;但是会报错&#xff1a;读取访问权限冲突 分析&#xff1a; 当我们输出到最后倒数第二个节点时&#xff0c;p指向倒数第二个节点并输出&#xff1b; 下一轮循环&#xff1a;p指向倒数第二…

027:vue中两列表数据联动,购物车添加、删除和状态更改

第027个 查看专栏目录: VUE ------ element UI 专栏目标 在vue和element UI联合技术栈的操控下&#xff0c;本专栏提供行之有效的源代码示例和信息点介绍&#xff0c;做到灵活运用。 &#xff08;1&#xff09;提供vue2的一些基本操作&#xff1a;安装、引用&#xff0c;模板使…

javaee spring整合mybatis spring帮我们创建dao层

项目结构 pom依赖 <?xml version"1.0" encoding"UTF-8"?><project xmlns"http://maven.apache.org/POM/4.0.0" xmlns:xsi"http://www.w3.org/2001/XMLSchema-instance"xsi:schemaLocation"http://maven.apache.org/P…

buuctf crypto 【RSA2】解题记录

1.打开文件 2.写脚本 3.16进制转字符串

【C++】动态内存管理

【C】动态内存管理 new和delete用法内置类型自定义类型抛异常定位new 刨析new和delete的执行与实现逻辑功能执行顺序newdelete 功能实现operator new与operator delete malloc free与new delete的总结 在我们学习C之前 在C语言中常用的动态内存管理的函数为&#xff1a; mallo…