Vivado XADC IP核 使用详解

本文介绍Vivado中XADC Wizard V3.3的使用方法。

XADC简介

在这里插入图片描述

XADC Wizard

在这里插入图片描述
Basic

  • Interface Options:
    一共三种,分别是AXI4Lite、DRP、None。勾选后可在界面左侧看到相应通信接口情况。
  • Startup Channel Selection
    Simultaneous Selection:同时监控两个外部通道。
    Independent ADC:XADC独立地监视外部通道,同时监视FPGA内部的电压和温度。
    Single Channel:只能选择一个通道进行监控。
    Channel Sequencer:可以选择任意数量的通道进行监控。
  • AXI4-Stream Options
    Enable AXI4-Stream:勾选后使能AXI4 Stream接口。
    FIFO Depth:设置FIFO深度,范围为7-1,020。
  • Timing Mode
    Continuous Mode(连续采样模式):XADc持续采样和转换所选通道。
    Event Mode(单次触发采样模式):通过外部触发事件CONVST或CONVSTCLK信号来启动所选通道上的转换。该模式只能用于外部通道。
  • DRP Timing Options
    在DRP接口下,必须有DCLK时钟,DCLK时钟频率最高250 MHz。
    ADC转换速率最大为1 Msps。在设定Acquisition Time(采集时间)后,可以得到Actual Conversion Rate(实际转换速率)。
  • Analog Sim Options
    设置模拟输入激励文件。
  • Control/Status Ports
    reset_in:允许外部输入复位信号。
    convst_in:勾选该信号作为Even Mode的触发源。
    convstclk_in:勾选该信号作为Even Mode的触发源。
    Temp Bus:勾选后启用一个特殊的总线,它在每一个给定的时间间隔更新温度。
    JTAG Arbiter:允许显示JTAG状态端口(JTAGMODIFIED、JTAGLOCED、JTAGBUSY)。
    在这里插入图片描述ADC Setup
    Sequencer Mode:如果XADC配置为Channel Sequencer、 Simultaneous Sampling 或Independent ADC模式,则此处可选Continuous, One-pass or Default mode。
    Channel Averaging:通道数据平均个数,可选None、16、64和256。
  • ADC Calibration
    勾选以启动不同功能的校准。
  • Supply Sensor Calibration
    勾选以启动不同功能的校准。
  • External Multiplexer Setup
    外部多路复用器设置。如果选中,则有必要指定多路复用器(MUX)连接的外部通道。即是将内部的通道作为外部模拟输入通道来使用。
    勾选Enable muxaddr_out port选项用于使用动态重新配置使能Channel for MUX。
  • Power Down Options
    控制ADCB或ADCA可以在不使用时关闭电源。只有ADCB已经关闭时,ADCA才能关闭。
    在这里插入图片描述
    Alarm
    勾选不同复选框以启用对应的告警。
  • Over Temperature,User Temperature Alarm
    分别设置触发和复位阈值。
  • VCCINT,VCCAUX,VBRAM Alarm
    分别设置上、下告警值, 如果测量值超出这些限制,则报警逻辑输出变为活动。
  • VCCPAUX ,VCCDDRO Alarm(只适应于Zynq-7000系列FPGA芯片)
    在这里插入图片描述
    Channel Sequencer
    如果XADC配置为Channel Sequencer、 Simultaneous Sampling 或Independent ADC模式,通道序列器才启用。选择不同的通道进行监控,启用所选通道的平均,启用外部通道的双极模式,并增加所选通道的采集时间。
    XADC配置为Single Channel模式时,则只能设置一个通道的参数。

连续采样

在这里插入图片描述
连续采样模式下,仿真时序图如下。图中有几个通道,取决于IP核中所勾选的ADC通道。
在这里插入图片描述
在这里插入图片描述

单次触发采样

在这里插入图片描述
需要注意的是,CONVST/CONVSTCLK只启动一次转换。当使用自动通道定序器或平均时,转换的次数是序列中的通道数和被平均的样本数的乘积。

DRP时序

在这里插入图片描述
DRP读写操作如上图所示,当DEN为逻辑高电平时,DRP地址(DADDR)和写使能(DWN)在DCLK的下一个上升沿有效。DEN应该只在一个DCLK周期内为逻辑高电平。
如果DWE为逻辑低电平时,则执行DRP读操作,当DRDY由低变高时,所读数据在DO[15:0]总线上有效。
如果DWE为逻辑高电平时,DI[15:0]和DADDR[6:0]将在 DCLK的下一个上升沿被捕获。当数据被成功写入DRP寄存器时,DRDY信号变为逻辑高电平。在DRDY信号变低之前,不能发起新的读或写操作。

将寄存器(41H、42H、43H)中的值读取出来,仿真时序如下。
在这里插入图片描述
与IP核寄存器默认值一致。
在这里插入图片描述
同理,读取XADC的转换结果数据时,只需要读取对应寄存器位置中的数据即可。
在这里插入图片描述
在这里插入图片描述

电压转换

数据寄存器示意图如下,只有12位有效数据。
在这里插入图片描述
XADC的所有片上传感器都使用ADC的单极性工作模式;外部模拟输入通道,可以被配置单极性或双极性输入模式。两种输入模式的传递函数如下。
在这里插入图片描述
在这里插入图片描述
需要注意的是,外部模拟输入通道的满量程输入是1 V;而内部电源传感器的输入经过了3倍的衰减,所以对应满量程输入是3 V。

温度转换

片上温度测量结果转换公式和传递函数如下图所示。
在这里插入图片描述
在这里插入图片描述

参考资料:

ug480_7Series_XADC.pdf
pg091-xadc-wiz.pdf

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/135354.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【C语言】指针的进阶(二)—— 回调函数的讲解以及qsort函数的使用方式

目录 1、函数指针数组 1.1、函数指针数组是什么? 1.2、函数指针数组的用途:转移表 2、扩展:指向函数指针的数组的指针 3、回调函数 3.1、回调函数介绍 3.2、回调函数的案例:qsort函数 3.2.1、回顾冒泡排序 3.2.1、什么是qso…

RFID插件,物联网技术新利器_三叠云

RFID 插件 路径 拓展 >> 插件 功能简介 新增「RFID」插件。RFID 插件使系统对资产管理、人员定位、进出库管理等自动化应用全面适配,极大拓展了三叠云系统的应用场景。 示例: RFID在表单中应用: RFID在移动端应用: 实时…

腾讯轻联:带你创造属于自己的AI小助手

陈老老老板🤴 🧙‍♂️本文专栏:生活(主要讲一下自己生活相关的内容)生活就像海洋,只有意志坚强的人,才能到达彼岸。 🧙‍♂️本文简述:参加腾讯全球数字生态大会,了解到腾讯轻联企业…

Java8中判断一个对象不为空存在一个类对象是哪个

Java8中判断一个对象不为空存在一个类对象是哪个? 在Java 8中,你可以使用java.util.Optional类来处理可能为空的对象。Optional类可以帮助你优雅地处理空值情况,而不需要显式地进行空值检查。 这是一个简单的Optional示例: imp…

java反序列化---URLDNS链

目录 一、前言 二、原理分析 三、代码实现 一、前言 URLDNS链相较于其他java反序列化链是比较简单的,只需要几步调用就能触发 所以学习java反序列化,最好从URLDNS链出发,初步了解如何跟进,以及反射获取类、方法等 使用这条链…

面试中常见的算法题和其python实现

在面试中,常见的算法题包括排序算法、查找算法、动态规划、贪心算法等。以下是一些常见算法题及其Python实现示例: 1. 快速排序(Quick Sort): 题目描述:给定一个数组,使用快速排序算法对数组进…

不负昭华,前程似锦,新一批研发效能认证证书颁发丨IDCF

亲爱的认证学员, 恭喜你成功获得由国家工业和信息化部教育与考试中心颁发的职业技术证书——《研发效能(DevOps)工程师国家职业技术认证》。你的努力和才华得到了官方的认可,这是你职业生涯中的一个重要的里程碑。 这个证书不仅代表着你的专业知识和技…

c语言练习61:malloc和free

malloc和free malloc C语⾔提供了⼀个动态内存开辟的函数: 1 void* malloc (size_t size); 这个函数向内存申请⼀块连续可⽤的空间,并返回指向这块空间的指针。 • 如果开辟成功,则返回⼀个指向开辟好空间的指针。 • 如果开辟失败&…

解决 Cannot read property ‘key‘ of undefined

目录 问题解决1解决2最终 问题 现场环境分页查询某些条件项查询时,分页接口获取成功但是数据不渲染,页面像是卡住了: 报错 Cannot read property key of undefined 解决1 有人说 使用的el-pagination在格式化代码的时候layout属性的参数会多加…

ACM MM 2023 | 基于点集和偏置的单阶段多人人体解析方法

本文为我们刚刚被ACM MM2023接收的工作“Single-Stage Multi-Human Parsing via Point Sets and Center-Based Offsets”的分享报告。 论文链接: https://arxiv.org/abs/2304.11356 01. 前言 EVOL创新团队与北京邮电大学共同提出多人人体解析方法SMP,利…

IM即时通讯系统[SpringBoot+Netty]——梳理(总)

文章目录 一、为什么要自研一套即时通讯系统1、实现一个即时通讯系统有哪些方式1.1、使用开源产品做二次开发或直接使用1.2、使用付费的云服务商1.3、自研 2、如何自研一套即时通讯系统2.1、早期即时通讯系统是如何实现2.2、一套即时通讯系统的基本组成2.3、当下的即时通讯系统…

华为云云耀云服务器L实例评测|cento7.9在线使用cloudShell下载rpm解压包安装mysql并开启远程访问

文章目录 ⭐前言⭐使用华为cloudShell连接远程服务器💖 进入华为云耀服务器控制台💖 选择cloudShell ⭐安装mysql压缩包💖 wget下载💖 tar解压💖 安装步骤💖 初始化数据库💖 修改密码&#x1f4…

一周技术杂谈2023_09_11--2023_09_15

平铺直述! 1、linux 64位系统可以运行32位linux程序。需要在linux64位系统新增一些设置: sudo dpkg --add-architecture i386 sudo apt-get update sudo apt-get install libc6:i386 libncurses5:i386 libstdc6:i386 其他已验证:32位用到的系统库无需替换…

IDEA使用技巧

1. 打开或导入别人的项目,找不到运行和调度的方法,如图所示(原因修改IDEA Mavem的执行路径) IDEA Maven配置的设定如下图所示: 程序启动类不能执行和调试如图所示 解决方案如下: 修改IDEA的Maven的路径,…

【JavaEE】多线程(三)

多线程(三) 续上文,多线程(二),我们已经讲了 创建线程Thread的一些重要的属性和方法 那么接下来,我们继续来体会了解多线程吧~ 文章目录 多线程(三)线程启动 startsta…

【Node.js】模块化:

文章目录 1、模块化的基本概念2、Node.js 中模块化【1】Node.js 中模块的分类【2】加载模块【3】模块作用域【4】向外共享模块作用域中的成员【5】模块化规范 3、npm与包(包/依赖/插件)【1】包的基本知识:【2】开发属于自己的包【3】发布包 4…

软考 - 系统架构设计师如何备考?文中含资料分享和备考心得

前言 我参加了2022年11月份的《软考-系统架构设计师》考试,在两个多月的备考之中我总结了一些学习经验和答题技巧,现毫无保留的分享给大家,希望对报考的同学们有所帮助。 一、软考的作用 1、以考代评(国企、事业单位、公务员评职…

SpringMvc第六战-【SpringMvcJSON返回异常处理机制】

前言: 小编讲述了:JSR303的概念,应用场景和在具体实例的使用;和拦截器的应用 今天小编来讲述的为cJSON返回&异常处理机制,json返回就不用多说,毕竟大部分数据都是通过Json来传递数据的,异…

常见音视频、流媒体开源编解码库及官网(四十一)

简介: CSDN博客专家,专注Android/Linux系统,分享多mic语音方案、音视频、编解码等技术,与大家一起成长! 优质专栏:Audio工程师进阶系列【原创干货持续更新中……】🚀 人生格言: 人生从来没有捷径,只有行动才是治疗恐惧和懒惰的唯一良药. 更多原创,欢迎关注:Android…