FPGA学习_Xilinx7系列FPGA基本结构

文章目录

  • 前言
  • 一、7系列FPGA介绍
    • 1.1、芯片编号
  • 二、基本组成单元
    • 2.1、可编程逻辑块CLB(Configable Logic Block)
    • 2.2、可编程输入输出单元(IOB)
    • 2.3、嵌入式块RAM(Block RAM)
    • 2.4、底层内嵌功能单元
    • 2.5、内嵌专用硬核
    • 2.6、布线资源


前言

一、7系列FPGA介绍

参考:https://xilinx.eetrend.com/content/2019/100042384.html
xilinx7系列FPGA主要包括:Spartan®-7、Artix®-7、Kintex®-7、Virtex®-7。其性能/密度/价格也随着系列的不同而提升。
Spartan7系列拥有最低的价格、最低的功耗、最小的尺寸以及最低的设计难度,一些低端应用中极为合适。
Artix7系列相对Spartan7系列则增加了串行收发器和DSP功能,其逻辑容量也更大,适合逻辑一些稍微复杂的中低端应用。
Kintex7系列是在所有系列中拥有最佳的性价比,无论是硬核数量还是逻辑容量,都能满足中低端、以及部分高端应用需求。
Virtex7系列则是7系列中的大佬,只在高端应用中使用,在中低端应用就如同高射炮打蚊子,大材小用
四者对比:
Virtex系列的器件不仅代表着Xilinx的最高水准,往往也是整个FPGA业界最好的器件。这个系列的器件一般应用于高速联网(10G~100G)、便携雷达和ASIC原型验证等领域,这些领域的特点是对资源数量和性能要求高,但是对功耗和成本不怎么敏感。
Kintex系列器件相对于Virtex系列器件在成本和功耗上做出了一定程度的让步,在成本、性能和功耗之间达成了一个相对比较好的平衡,但是成本依旧比较高。Kintex器件一般应用于3G/4G无线通信、显示和Video IO Over等领域。
Artix系列器件在尽可能不降低性能和资源数量的情况下大幅降低了器件成本,国内医疗设备和军工行业用这个系列器件用的比较多。
Spartan系列器件的性能和资源数量都远不如前面三个系列,但是胜在价格便宜,所以这个系列的器件在消费电子、汽车电子和工业领域的应用相对比较广泛。值得一提的是,Spartan系列器件的性能要比友商Altera的Cyclone系列(跟Spartan对标)高出不少,比如说,Spartan6是支持DDR3的,但是在其之后很晚才面试的Cyclone10全系类都不支持DDR3。

1.1、芯片编号

在这里插入图片描述
从上图中可以看出,除Spartan系列器件的厂商编号是由十个字段组成之外,其余三个系列的厂商编号都由9个字段组成。
四个系列器件的左数第一段编码都是"XC",这俩字符表示该器件是Xilinx生产的商业级器件,另外还有军品级和宇航级,不过这俩等级国内不太可能通过正规渠道购买;
左数第二个字段代表该器件属于Xilinx的第几代器件,7系列的这个字段都是7;
左数第三个字段代表该器件属于哪个族,S代表Spartan、A代表Artix、K代表Kintex、V代表Virtex;
左数第四个字段,实际器件上印的是一位或多位阿拉伯数字,这个数字乘以1000就是该器件大致的资源数量,只是大致,并不准确;
左数第五个字段用于表示该器件的速度等级,-3最高,-1最低,带L的表示该器件是低功耗器件(低功耗器件的供电电压比普通器件更低)。这个参数是厂商对芯片测试筛选后印到芯片上的,是一个经验值。该值越大,表示Block RAM和高速IO等资源的最大时钟越高,因此在进行设计时需要慎重考虑该数值。
接下来,Spartan器件的第六到九四个字段和其余三个族的器件的第六到八个字段是该器件的封装信息,这几个字段里最后一个字段的数字代表该器件的引脚总数(跟用户引脚数有区别)
最后一个字段表示该器件的温度等级,国内比较常见的是C和I;C代表商业级(Commercial),可以在0℃到85℃下正常运行;I代表工业级(Industrial),可以在-40℃到100℃下正常运行。

二、基本组成单元

图片源自https://xilinx.eetrend.com/blog/2020/100047069.html
在这里插入图片描述

2.1、可编程逻辑块CLB(Configable Logic Block)

一个CLB由俩个SLICE构成,SLICE可分为SLICEL(L:logic,只能在 LUT 中实现逻辑功能)SLICEM(M:memory,能够在 LUT 中实现逻辑、移位寄存器和存储器功能,可以被综合为分布式RAM),因此CLB可分为CLBLL和CLBLM俩类。具有存储器功能的 SLICEM在 DSP Slice 的列附近最为普遍,为设计人员提供接近所需位置的系数存储。 Xilinx 设计工具全面了解资源的相对布局,并以最有效的方式智能、自动地将设计映射到资源,同时遵守用户指定的任何约束

在这里插入图片描述
在这里插入图片描述
SLICE主要由4个6输入查找表(LUT6)和8个寄存器(Register,也就是D触发器)组成,此外还有进位链CARRY4(用来实现最基本的加、减法运算的)和MUX选择器

  • 查找表完成纯组合逻辑功能。
  • 寄存器可配置为带同步/异步复位和置位、时钟使能的触发器,也可以配置成为锁存器。
  • FPGA一般依赖寄存器完成同步时序逻辑设计。学习底层配置单元的LUT和Register比率的重要意义在于器件选型和规模估算。
    FPGA中组合逻辑全部使用查找表LUT实现,此外,查找表也可用来作为分布式存储使用。

2.2、可编程输入输出单元(IOB)

  • 可编程输入/输出单元是芯片与外界电路的接口部分,完成不同电气特性下对输入/输出信号的驱动与匹配要求。FPGA内部的I/O按组分类,每组都能够独立地支持不同的I/O标准。通过软件的灵活配置,可适应不同的电气标准与I/O物理特性,可以调整匹配阻抗特性,可以改变上、下拉电阻,可以调整驱动电流的大小。
  • 外部输入信号可以通过IOB模块的存储单元输入到FPGA的内部,也可以直接输入FPGA内部。当外部输入信号经过IOB模块的存储单元输入到FPGA内部时,其保持时间(Hold Time)的要求可以降低,通常默认为0。
  • 为了便于管理和适应多种电器标准,FPGA的IOB被划分为若干个组(bank),每个bank的接口标准由其接口电压VCCO决定,一个bank只能有一种VCCO,但不同bank的VCCO可以不同。只有相同电气标准的端口才能连接在一起,VCCO电压相同是接口标准的基本条件。

2.3、嵌入式块RAM(Block RAM)

目前大多数FPGA都有内嵌的块RAM。嵌入式块RAM可被配置为单端口RAM、双端口RAM、内容地址存储器(CAM)以及FIFO等常用存储结构。CAM,即为内容地址存储器。写入CAM的数据会和其内部存储的每一个数据进行比较,并返回与端口数据相同的所有内部数据的地址。简单的说,RAM是一种写地址,读数据的存储单元;CAM与RAM恰恰相反。除了块RAM,Xilinx的FPGA还可以灵活地将LUT配置成RAM、ROM、FIFO等存储结构(即DRAM,分布式RAM)。尽管BRAM的功能更多,但是对于一些小规模的数据存储,分布式RAM可能获得比BRAM更好的性能

2.4、底层内嵌功能单元

底层嵌入功能单元是指通用程度较高的嵌入式功能模块。如锁相环(Phase Locked Loop,PLL)、DSP(Digital Signal Processing)和CPU等。

2.5、内嵌专用硬核

与“底层嵌入单元”是有区别的,这里指的硬核主要是那些通用性相对较弱,不是所有FPGA器件都包含的硬核。
内嵌专用硬核是相对底层嵌入的软核而言的,指FPGA处理能力强大的硬核(Hard Core),等效于ASIC电路。为了提高FPGA性能,芯片生产商在芯片内部集成了一些专用的硬核。例如:为了提高FPGA的乘法速度,主流的FPGA中都集成了专用乘法器;为了适用通信总线与接口标准,很多高端的FPGA内部都集成了串并收发器(SERDES),可以达到数十Gbps的收发速度。
Xilinx公司的高端产品不仅集成了ARM,还内嵌了DSP Core模块,并提出MPSoC、RFSoC等概念。

2.6、布线资源

布线资源连通FPGA内部所有单元,连线的长度和工艺决定着信号在连线上的驱动能力和传输速度。根据工艺、长度、宽度和分布位置的不同而划分为4类不同的类别:

  • 全局性的专用布线资源:用以完成芯片内部全局时钟和全局复位/置位的布线;
  • 长线资源:用以完成器件Bank间的一些高速信号和一些第二全局时钟信号的布线;
  • 短线资源:用来完成基本逻辑单元间的逻辑互连与布线;
  • 其他:在逻辑单元内部还有着各种布线资源和专用时钟、复位等控制信号线。
    由于在设计过程中,往往由布局布线器自动根据输入的逻辑网表的拓扑结构和约束条件选择可用的布线资源连通所用的底层单元模块,所以常常忽略布线资源。其实布线资源的优化与使用和实现结果有直接关系。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/280666.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

酷开系统提供畅享娱乐平台,酷开科技带来独属科技的温暖

现如今,智能电视早已渗透进千家万户,但大家对智能电视的操作系统却知之甚少,操作系统对于智能电视来说,就相当于人的大脑。购买电视,就像是买手机,购买的时候可能会被颜色、大小所吸引,但是操作…

【word技巧】Word不能复制粘贴是什么原因?

Word文档打开之后,发现文档的内容无法复制粘贴,这是什么原因?今天小编总结了一下原因。 一、 首先,可能是因为我们接收到的文件,在我们自己软件打开的时候会出现兼容性问题。如果除了Word以外,其他格式文…

VUE-组件间通信(一)props

props 1、单向绑定 props是父组件给子组件传输数据 当父组件的属性变化时&#xff0c;将传导给子组件&#xff0c;但是反过来不会 2、使用示例 子组件&#xff08;类似于方法&#xff09; <template> <div><h2>姓名:{{ name }}</h2><h2>性别:{{…

环境安装篇 之 安装kubevela

云原生学习路线导航页&#xff08;持续更新中&#xff09; 本文是 环境安装 系列文章&#xff0c;介绍 oam规范标准实施项目 kubevela 的安装详细步骤kubevela 官方安装文档&#xff1a;https://kubevela.io/zh/docs/installation/kubernetes/ 1.CentOS 安装kubevela 1.1.前提…

【Python】新手入门学习:详细介绍里氏替换原则(LSP)及其作用、代码示例

【Python】新手入门学习&#xff1a;详细介绍里氏替换原则&#xff08;LSP&#xff09;及其作用、代码示例 &#x1f308; 个人主页&#xff1a;高斯小哥 &#x1f525; 高质量专栏&#xff1a;Matplotlib之旅&#xff1a;零基础精通数据可视化、Python基础【高质量合集】、PyT…

多模态大语言模型的 (R) 演变:调查

目录 1. Introduction2. 赋予LLMs多模态能力2.1 大型语言模型2.2 视觉编码器2.3 视觉到语言适配器2.4 多模式训练 3. 使用 MLLM 处理视觉任务 连接文本和视觉模式在生成智能中起着至关重要的作用。因此&#xff0c;受大型语言模型成功的启发&#xff0c;大量研究工作致力于多模…

垃圾回收-垃圾回收算法

垃圾回收分为标记阶段和清除阶段。 在堆里存放着几乎所有的Java对象实例&#xff0c;在GC执行垃圾回收之前&#xff0c;首先需要区分出内存中哪些是存活对象&#xff0c;哪些是已经死亡的对象。只有被标记为己经死亡的对象&#xff0c;GC才会在执行垃圾回收时&#xff0c;释放…

迁移学习的技术突破与应用前景

目录 前言1 迁移学习技术1.1 原理与分类1.2 主要挑战 2 迁移学习应用2.1 计算机视觉2.2 医疗健康 3 未来展望3.1 推动各领域发展3.2 提高模型泛化能力和效果3.3 在新兴领域中广泛应用 结语 前言 迁移学习作为机器学习领域的重要技术之一&#xff0c;以其能够将从一个任务中学到…

# Django通过开关控制数据库参数(JS版)

目录 场景初始的视图层HTML部分JS代码视图层接受部分 场景 此时我的表单中有一排开关 数据库有一排状态 需求是要当开关开启时数据库state为1&#xff0c;关闭时为0 初始的视图层 将整个adv数据表返回给前端HTML def adv(request):adv_list Adv.objects.all()return rende…

【动态三维重建】Deformable 3D Gaussians 可变形3D GS用于单目动态场景重建(CVPR 2024)

主页&#xff1a;https://ingra14m.github.io/Deformable-Gaussians/ 代码&#xff1a;https://github.com/ingra14m/Deformable-3D-Gaussians 论文&#xff1a;https://arxiv.org/abs/2309.13101 文章目录 摘要一、前言二、相关工作2.1 动态场景的神经渲染2.2 神经渲染加速 三…

Elasticsearch从入门到精通-06ES统计分析语法

Elasticsearch从入门到精通-06ES统计分析语法 bucket和metric概念简介 bucket就是一个聚合搜索时的数据分组。如&#xff1a;销售部门有员工张三和李四&#xff0c;开发部门有员工王五和赵六。那么根据部门分组聚合得到结果就是两个bucket。销售部门bucket中有张三和李四&…

RK3399 android10 移植SiS-USB触摸驱动

一&#xff0c;SiS USB触摸简介 SiS USB 触摸屏通常是一种外接式触摸屏设备&#xff0c;通过 USB 接口连接到计算机或其他设备上。这种触摸屏设备可以提供触摸输入功能&#xff0c;用户可以通过手指或触控笔在屏幕上进行操作&#xff0c;实现点击、拖动、缩放等操作。 SiS USB…

ReaLTaiizor开源.NET winform控件库学习使用

一、ReaLTaiizor项目介绍 1.1 介绍及地址 基于MIT license开源、免费、美观的.NET WinForm UI控件库&#xff1a;ReaLTaiizor ReaLTaiizor是一个开源免费的.NET WinForms控件库&#xff0c;它提供了广泛的组件和丰富的主题选项&#xff08;用户友好、注重设计&#xff09;&am…

单片机-- 数电(3)

编码器与译码器 译码 &#xff1a;将二进制代码转化为其他进制的代码 编码 &#xff1a;就是将其他代码转换为二进制码 编码器的类型 1二进制编码器 用n位二进制数码对2的n次方个输入信号进行编码的电路 2二-十进制编码器 将0到9十个十进制数转化为二进制代码的电路 2…

Uibot6.0 (RPA财务机器人师资培训第1天 )RPA+AI、RPA基础语法

训练网站&#xff1a;泓江科技 (lessonplan.cn)https://laiye.lessonplan.cn/list/ec0f5080-e1de-11ee-a1d8-3f479df4d981(本博客中会有部分课程ppt截屏,如有侵权请及请及时与小北我取得联系~&#xff09; 紧接着小北之前的几篇博客&#xff0c;友友们我们即将开展新课的学习~…

绝地求生:七周年活动来袭,小黑盒联名限时返场

就在2024.3.20号下午18点&#xff0c;小黑盒绝地求生板块上线最新活动&#xff0c;活动方法和以往一样采用积分抽奖的方式&#xff0c;通过每日签到&#xff0c;完成任务即可获得相应积分&#xff0c;抽奖需消耗10积分&#xff0c;第一天可以抽8次&#xff0c;后面每一天可以抽…

【Python + Django】Django模板语法 + 请求和响应

前言&#xff1a; 现在现在&#xff0c;我们要开始将变量的值展现在页面上面啦&#xff01; 要是只会显示静态页面&#xff0c;我们的页面也太难看和死板了&#xff0c; 并且数据库的数据也没法展现在页面上。 但是呢&#xff0c;模板语法学习之后就可以啦&#xff01;&…

Midjourney角色一致功能解读

在无数AI绘画创作者的胡呼声中&#xff0c;Midjourney终于推出了“角色一致性”功能&#xff0c;该功能可在新图像中一致地重新创建角色。AI绘画中的主要障碍终被打破。 这是因为大多数AI图像生成器都依赖于“扩散模型”&#xff0c;这些工具类似于或基于Stability AI的Stable…

IDEA中快速配置Git

Git介绍&#xff1a; Git下载 idea中配置Git

如何使用人工智能打造超用户预期的个性化购物体验

回看我的营销职业生涯&#xff0c;我见证了数字时代如何重塑客户期望。从一刀切的方法过渡到创造高度个性化的购物体验已成为企业的关键。在这个客户期望不断变化的新时代&#xff0c;创造个性化的购物体验不再是奢侈品&#xff0c;而是企业的必需品。人工智能 &#xff08;AI&…