33.异步FIFO IP核的配置、调用与仿真

(1)异步FIFO的配置过程:

ps:异步fifo相比较同步fifo少一个实际深度

(2)异步FIFO的调用:

module dcfifo
(input               wr_clk          ,input               rd_clk          ,input       [7:0]   data_in         ,input               wr_en           ,input               rd_en           ,output      [15:0]  data_out        ,output              full            ,output              empty           ,output      [6:0]   rd_data_count   ,output      [7:0]   wr_data_count     
);dcfifo_8x256_to_16x128 dcfifo_8x256_to_16x128_inst (.wr_clk                   (wr_clk         ),                // input wire wr_clk.rd_clk                   (rd_clk         ),                // input wire rd_clk.din                      (data_in        ),                      // input wire [7 : 0] din.wr_en                    (wr_en          ),                  // input wire wr_en.rd_en                    (rd_en          ),                  // input wire rd_en.dout                     (data_out       ),                    // output wire [15 : 0] dout.full                     (full           ),                    // output wire full.empty                    (empty          ),                  // output wire empty.rd_data_count            (rd_data_count  ),  // output wire [6 : 0] rd_data_count.wr_data_count            (wr_data_count  )  // output wire [7 : 0] wr_data_count
);endmodule

(3)异步FIFP仿真文件代码:

`timescale 1ns / 1psmodule dcfifo_tb;reg         wr_clk          ;
reg         rd_clk          ;
reg [7:0]   data_in         ;
reg         wr_en           ;
reg         rd_en           ;
reg         reset_n         ;
reg [1:0]   cnt             ;
reg         full_reg0       ;
reg         full_reg1       ;wire [15:0] data_out        ;
wire        full            ;
wire        empty           ;
wire [6:0]  rd_data_count   ;
wire [7:0]  wr_data_count   ;//50MHz读时钟initial wr_clk = 1'd1;always #10 wr_clk = ~wr_clk;//25MHz写时钟   initial rd_clk = 1'd1;always #20 rd_clk = ~rd_clk;//复位信号initial begin reset_n <= 1'd0;#15;reset_n <= 1'd1;#100_000;$stop;end//cnt信号    always@(posedge wr_clk or negedge reset_n)if(!reset_n)cnt <= 2'd0;else if(cnt == 2'd3)cnt <= 2'd0;else    cnt <= cnt + 2'd1;//wr_en信号always@(posedge wr_clk or negedge reset_n)if(!reset_n)wr_en <= 1'd0;else if((cnt == 2'd3) && (rd_en == 1'd0))wr_en <= 1'd1;else wr_en <= 1'd0;//data_in信号always@(posedge wr_clk or negedge reset_n)if(!reset_n)data_in <= 8'd0;else if((data_in == 8'd255) && (wr_en == 1'd1))data_in <= 8'd0;else if(wr_en == 1'd1)data_in <= data_in + 8'd1;else    data_in <= data_in;//full_reg0、full_reg1信号设计always@(posedge rd_clk or negedge reset_n)if(!reset_n)beginfull_reg0 <= 1'd0;full_reg1 <= 1'd0;      endelse beginfull_reg0 <= full;full_reg1 <= full_reg0;end//rd_en信号always@(posedge rd_clk or negedge reset_n)if(!reset_n)rd_en <= 1'd0;else if(full_reg1 && (wr_en == 1'd0))rd_en <= 1'd1;else if(empty)rd_en <= 1'd0;else rd_en <= rd_en;dcfifo dcfifo_inst
(.wr_clk          (wr_clk  ),.rd_clk          (rd_clk  ),.data_in         (data_in ),.wr_en           (wr_en   ),.rd_en           (rd_en   ),.data_out        (data_out     ),.full            (full         ),.empty           (empty        ),.rd_data_count   (rd_data_count),.wr_data_count   (wr_data_count)  
);endmodule

(4)仿真波形:

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/378229.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

泉盛UV-K5扩容2Mbit EEPROM

泉盛UV-K5扩容2Mbit EEPROM 步骤 分离前面板与背板。 拆下电池&#xff0c;底部有个空隙&#xff0c;从缝隙撬开背板。分离前面板时注意喇叭连接线&#xff0c;不要扯断了。 分离屏幕。 先从箭头位置向上挑起&#xff0c;屏幕稍微松动即可左右晃动&#xff0c;直至完全取出。注…

开发实战经验分享:互联网医院系统源码与在线问诊APP搭建

作为一名软件开发者&#xff0c;笔者有幸参与了多个互联网医院系统的开发项目&#xff0c;并在此过程中积累了丰富的实战经验。本文将结合我的开发经验&#xff0c;分享互联网医院系统源码的设计与在线问诊APP的搭建过程。 一、需求分析 在开发任何系统之前&#xff0c;首先要…

【数据结构】二叉树全攻略,从实现到应用详解

​ &#x1f48e;所属专栏&#xff1a;数据结构与算法学习 &#x1f48e; 欢迎大家互三&#xff1a;2的n次方_ ​ &#x1f341;1. 树形结构的介绍 树是一种非线性的数据结构&#xff0c;它是由n&#xff08;n>0&#xff09;个有限结点组成一个具有层次关系的集合。把它叫做…

详解曼达拉升级:如何用网络拓扑结构扩容BSV区块链

​​发表时间&#xff1a;2024年5月24日 BSV曼达拉升级是对BSV基础设施的战略性重塑&#xff0c;意在显著增强其性能&#xff0c;运行效率和可扩容。该概念于2018年提出&#xff0c;其战略落地将使BSV区块链顺利过渡&#xff0c;从现有的基于单一集成功能组件的网络拓扑结构&am…

GuLi商城-商品服务-API-品牌管理-JSR303分组校验

注解:@Validated 实体类: package com.nanjing.gulimall.product.entity;import com.baomidou.mybatisplus.annotation.TableId; import com.baomidou.mybatisplus.annotation.TableName; import com.nanjing.common.valid.ListValue; import com.nanjing.common.valid.Updat…

MySQL-事务、日志

事务 特性 原子性 是指事务开始后&#xff0c;必须成功执行完所有的操作才会结束&#xff0c;否则会回滚到事务刚开始前。 拿转账来说&#xff0c;一个成功的 A向B转账100元的过程 会涉及如下过程&#xff1a; A&#xff1a;从数据库读取A的余额&#xff1b;A的余额-100&am…

安全防御---防火墙双击热备与带宽管理

目录 一、实验拓扑 二、实验需求 三、实验的大致思路 四、实验过程 4、基础配置 4.1 FW4的接口信息 4.2 新建办公&#xff0c;生产&#xff0c;游客&#xff0c;电信&#xff0c;移动安全区域 4.3 接口的网络配置 生产区:10.0.1.2/24 办公区:10.0.2.2/24 4.4 FW4的…

微调 Florence-2 - 微软的尖端视觉语言模型

Florence-2 是微软于 2024 年 6 月发布的一个基础视觉语言模型。该模型极具吸引力&#xff0c;因为它尺寸很小 (0.2B 及 0.7B) 且在各种计算机视觉和视觉语言任务上表现出色。 Florence 开箱即用支持多种类型的任务&#xff0c;包括: 看图说话、目标检测、OCR 等等。虽然覆盖面…

气膜的制作工艺—轻空间

气膜结构是现代建筑领域中的一项创新技术&#xff0c;以其独特的优点在多种应用场景中得到广泛推广和应用。轻空间将详细介绍气膜的制作工艺&#xff0c;帮助大家更好地理解气膜结构的制造过程及其技术优势。 1. 选材与设计 气膜的制作首先从材料的选择和设计开始。气膜材料主要…

SAP ABAP性能优化

1.前言 ABAP作为SAP的专用的开发语言&#xff0c;衡量其性能的指标主要有以下两个方面&#xff1a; 响应时间&#xff1a;对于某项特定的业务请求&#xff0c;系统在收到请求后需要多久返回结果 吞吐量&#xff1a;在给定的时间能&#xff0c;系统能够处理的数据量 2. ABAP语…

看番工具 -- oneAnime v1.2.5绿色版

软件简介 OneAnime是一款专为动漫爱好者设计的应用程序&#xff0c;它提供了一个庞大的动漫资源库&#xff0c;用户可以在这里找到各种类型的动漫&#xff0c;包括热门的、经典的、新番的等等。OneAnime的界面设计简洁明了&#xff0c;操作方便&#xff0c;用户可以轻松地搜索…

产品经理-一份标准需求文档的8个模块(14)

一份标准优秀的产品需求文档包括&#xff1a; ❑ 封面&#xff1b; ❑ 文档修订记录表&#xff1b; ❑ 目录&#xff1b; ❑ 引言&#xff1b; ❑ 产品概述&#xff1a;产品结构图 ❑ 详细需求说明&#xff1a;产品逻辑图、功能与特性简述列表、交互/视觉设计、需求详细描述&am…

MySQL执行状态查看与分析

当mysql出现性能问题时&#xff0c;一般会查看mysql的执行状态&#xff0c;执行命令&#xff1a; show processlist 各列的含义 列名含义id一个标识&#xff0c;你要kill一个语句的时候使用&#xff0c;例如 mysql> kill 207user显示当前用户&#xff0c;如果不是root&…

MySQL中IF()、IFNULL()、NULLIF()、ISNULL()函数的奇妙之旅

在MySQL这片浩瀚的数据海洋中&#xff0c;函数如同航海家的罗盘&#xff0c;指引着数据处理的航向。今天&#xff0c;就让我们踏上一场探索之旅&#xff0c;深入了解MySQL中几位不可或缺的“航海家”——IF()、IFNULL()、NULLIF()、ISNULL()函数&#xff0c;看它们如何在数据处…

Redis 数据类型

Redis 数据类型 文章目录 Redis 数据类型1. String类型2. key的层级结构3. Hash类型4. List类型5. Set类型6. SortedSet类型 1. String类型 String类型是redis中最常用的存储类型&#xff0c;即字符串类型&#xff0c;同时根据字符串的格式不同&#xff0c;可以将value分为三类…

shell脚本-linux如何在脚本中远程到一台linux机器并执行命令

需求&#xff1a;我们需要从11.0.1.17远程到11.0.1.16上执行命令 实现&#xff1a; 1.让11.0.1.17 可以免密登录到11.0.1.16 [rootlocalhost ~]# ssh-keygen Generating public/private rsa key pair. Enter file in which to save the key (/root/.ssh/id_rsa): Created d…

前端基础之JavaScript学习——变量、数据类型、类型转换

大家好&#xff0c;我是来自CSDN的博主PleaSure乐事&#xff0c;今天我们开始有关JS的学习&#xff0c;希望有所帮助并巩固有关前端的知识。 我使用的编译器为vscode&#xff0c;浏览器使用为谷歌浏览器&#xff0c;使用webstorm或其他环境效果几乎一样&#xff0c;使用系统自…

数电基础 - 硬件描述语言

目录 一. 简介 二. Verilog简介和基本程序结构 三. 应用场景 四. Verilog的学习方法 五.调式方法 一. 简介 硬件描述语言&#xff08;Hardware Description Language&#xff0c;HDL&#xff09;是用于描述数字电路和系统的形式化语言。 常见的硬件描述语言包括 VHDL&…

zephyr设置BLE广播数据实例

目录 实例1&#xff1a;静态开启广播数据实例2&#xff1a;动态更改广播数据实例3&#xff1a;创建可连接的广播 实例1&#xff1a;静态开启广播数据 新建一个hello world的工程模板。 在prj.conf中开启蓝牙 CONFIG_BTy这个宏&#xff0c;默认会开启广播支持 ( BT_BROADCAS…

组网升级,双击热备和宽带管理

拓扑 要求&#xff1a; 要求12&#xff1a; 要求13&#xff1a; 要求14&#xff1a; 要求15&#xff1a; 要求16&#xff1a;