AD7606工作原理以及FPGA控制验证(串行和并行模式)

文章目录

  • 一、AD7606介绍
  • 二、AD7606采集原理
    • 2.1 AD7606功能框图
    • 2.2 AD7606管脚说明
  • 三、AD7606并行模式时序分析以及实现
    • 3.1 并行模式时序图
    • 3.2 并行模式时序要求
    • 3.3 代码编写
    • 3.4 仿真观察
  • 四、AD7606串行模式时序分析以及实现
    • 4.1 串行模式时序图
    • 4.2 串行模式时序要求
    • 4.3 代码编写
    • 4.4 添加仿真模型以及观察


一、AD7606介绍

  AD7606有三种,分别有8个、6个或4个通道,采样深度有16位(即最小采样的电压为5V/(2^16) = 0,00007V,实际上达不到);采用5V单电源供电,可以处理±10V 和±5V 真双极性输入信号;具有内部的2.5V基准电压;同时所有通道均能以高达200kSPS的吞吐速率采样,提供过采样功能以及串行输出和并行输出两种模式;广泛用于低速采集场景。

二、AD7606采集原理

  下图为AD7606-8的芯片封装图:
在这里插入图片描述

2.1 AD7606功能框图

  下图为AD7606-8内部的功能框图:

在这里插入图片描述
  ADC工作原理:采样:对模拟值进行采样 -> 保持:将开关保持一段时间以将电容充电到采集电压值 -> 量化 编码:将电压值转化为数字量。

2.2 AD7606管脚说明

  7606的管脚很多,我们选一些重要的管脚来说明:

管脚名称 描述
AVcc 模拟电压源,4.75V至5.25V
AGNG 接地
OS[2:0] 过采样模式引脚。逻辑输入。这些输入用来选择过采样倍率。OS2为MSB控制位,OS0则为LSB控制位。
PAR(低电平有效)/SER/BYTE SEL并行/串行/字节接口选择输入。如果此引脚与逻辑低电平相连,则选择并行接口。如果此引脚与逻辑高电平相连,则选择串行接口
RANG模拟输入范围选择输入,如果此引脚与逻辑高电平相连,则所有通道的模拟输入范围为+10V。如果此引脚与逻辑低电平相连,则所有通道的模拟输入范围为+5 V
CONVST A / CONVST B启动转换信号,CONVST A启动通道1,2,3,4;CONVST B启动通道5,6,7,8
RESET复 位 输 入 。 当设 置 为 逻 辑 高 电 平 时 , RESET上 升 沿 复 位;RESET高脉冲宽度典型值为50ns。如果在转换期间施加RESET脉冲,转换将中断。如果在读取期间施加RESET脉冲, 输出寄存器的内容将复位为0。
RD(低电平有效)/SCIK选择并行接口时为并行数据读取控制输入(RD_)/选择串行接口时为串行时钟输入(SCLK)。在并行模式下, 如果CS_和RD_均处于逻辑低电平, 则会启用输出总线。在串行模式下, 此引脚用作数据传输的串行时钟输入。CS_下降沿使数据输出线路DoutA和DoutB脱离三态, 并逐个输出转换结果的MSB。SCLK上升沿将随后的所有数据位逐个送至串行数据输出DoutA和DoutB。
CS片选。此低电平有效。在并行模式下, 如果CS和RD均处于逻辑低电平, 则会使能输出总线DB[15:0]。在串行模式下, CS使能串行数据帧传输, 并逐个输出串行输出数据的最高有效位(MSB)。
BUSY输出繁忙信号。CONVST A和CONVST B均达到上升沿之后, 此引脚变为逻辑高电平, 表示转换过程已开始。BUSY输出保持高电平, 直到所有通道的转换过程完成为止。BUSY下降沿表示转换数据正被锁存至输出数据寄存器, 经过时间t₄之后便可供读取。在BUSY为高电平时执行的数据读取操作应当在BUSY下降沿之前完成。当BUSY信号为高电平时, CONVST A或CONVSTB的上升沿不起作用。
FRSTDATAFRSTDATA输出信号指示何时在并行、字节或串行接口上回读第一通道V1。在并行模式下, 与V1结果相对应的RD下降沿随后将FRSTDATA引脚设为高电平, 表示输出数据总线可以提供V1的结果。在RD的下一个下降沿之后, FRSTDATA输出恢复逻辑低电平。在串行模式下, FRSTDATA在CS下降沿变为高电平, 因为此时将在DoutA上输出V1的MSB。在CS下降沿之后的第16个SCLK下降沿, 它恢复低电平。
DB0 - DB15读数据总线

  OS[2:0]过采样模式选择

OS2 ,OS1,OS0 说明 最大速率
000 无过采样 200Ksps
001 2倍过采样 100Ksps
010 4倍过采样 50Ksps
011 8倍过采样 25Ksps
100 16倍过采样 12.5Ksps
101 32倍过采样 6.25Ksps
110 64倍过采样 3.125Ksps
111 无效

三、AD7606并行模式时序分析以及实现

3.1 并行模式时序图

在这里插入图片描述
在这里插入图片描述

3.2 并行模式时序要求

时间名称 时间长度 描述
tRESET 50ns 高电平复位最小宽度
t2 25ns CONVST低电平脉冲最短宽度
t7 25ns RESET低电平到CONVST高电平之间最短宽度
t5 0.5ms CONVSTA和CONVSTB上升沿之间的最短延迟时间
t1 40ns CONVST上升沿到BUSY上升沿之间的最长延迟时间
tCYCLE 5us CONVST上升沿到CONVST上升沿之间的最长延迟时间
t3 25ns CONVST高电平最短时间
t4 0ns BUSY下降沿到CS下降沿最短时间
t8 0ns cs下降沿和RD下降沿最短时间
t10 16/21/25/32ns RD低电平脉冲宽度,根据Vdrive电平判断
t11 15ns RD低电平脉冲宽度最小值
t9 0ns RD上升沿到CS上升沿的最小时间
tCONV 3.45us 转换时间最低3.45us,最高4.15us

3.3 代码编写

  输入可以用一个开始信号控制启动转换:

module ad7606_ctrl_par(input                                               i_clk           ,   // 输入系统时钟,50minput                                               i_rst           ,   input                                               i_start         ,   // 7606开始转换控制信号  input           [15:0]                              i_ad_data       ,   // ad7606 采样数据input                                               i_ad_busy       ,   // ad7606 忙标志位 input                                               i_first_data    ,   // ad7606 第一个数据标志位 	    output          [ 2:0]                              o_ad_os         ,   // ad7606 过采样倍率选择output                                              o_ad_cs         ,   // ad7606 AD csoutput                                              o_ad_rd         ,   // ad7606 AD data readoutput                                              o_ad_reset      ,   // ad7606 AD resetoutput                                              o_ad_convstA    ,   // ad7606 AD convert startAoutput                                              o_ad_convstB    ,   // ad7606 AD convert startBoutput          [15:0]                              o_ad_ch1        ,   // AD第1通道的数据output                                              o_ad_ch1_valid  ,   // AD第1通道的数据有效信号output          [15:0]                              o_ad_ch2        ,   // AD第2通道的数据output                                              o_ad_ch2_valid  ,   // AD第2通道的数据有效信号output          [15:0]                              o_ad_ch3        ,   // AD第3通道的数据output                                              o_ad_ch3_valid  ,   // AD第3通道的数据有效信号output          [15:0]                              o_ad_ch4        ,   // AD第4通道的数据output                                              o_ad_ch4_valid  ,   // AD第4通道的数据有效信号output          [15:0]                              o_ad_ch5        ,   // AD第5通道的数据output                                              o_ad_ch5_valid  ,   // AD第5通道的数据有效信号output          [15:0]                              o_ad_ch6        ,   // AD第6通道的数据output                                              o_ad_ch6_valid  ,   // AD第6通道的数据有效信号output          [15:0]                              o_ad_ch7        ,   // AD第7通道的数据output                                              o_ad_ch7_valid  ,   // AD第7通道的数据有效信号output          [15:0]                              o_ad_ch8        ,   // AD第8通道的数据	output                                              o_ad_ch8_valid      // AD第8通道的数据有效信号
);

  整个操作可以用一个状态机来控制,状态定义如下:

/***************parameter*************/
localparam                                          RESET       = 4'd0 ; //复位状态
localparam                                          AD_CONSVT   = 4'd1 ; //开启转换
localparam                                          BUSY        = 4'd2 ; //等待busy
localparam                                          READ_CH1    = 4'd3 ; //读通道1数据
localparam                                          READ_CH2    = 4'd4 ; //读通道2数据
localparam                                          READ_CH3    = 4'd5 ; //读通道3数据
localparam                                          READ_CH4    = 4'd6 ; //读通道4数据
localparam                                          READ_CH5    = 4'd7 ; //读通道5数据
localparam                                          READ_CH6    = 4'd8 ; //读通道6数据
localparam                                          READ_CH7    = 4'd9 ; //读通道7数据
localparam                                          READ_CH8    = 4'd10; //读通道8数据
localparam                                          READ_DONE   = 4'd11; //读完成

  整个控制代码比较简单,这里就不全放出来了,直接看仿真:

3.4 仿真观察

  仿真给出时钟复位即可,busy信号一直给0,data固定为d‘11,我们来看各信号的时序是否满足手册要求,仿真代码如下:

`timescale 1ns / 1psmodule tb_ad7606_ctrl_par();reg i_clk;
reg i_rst;initial begini_clk =0;i_rst = 1;#250;i_rst = 0;
endalways #10 i_clk = ~i_clk;ad7606_ctrl_par u_ad7606_ctrl_par(.i_clk           ( i_clk     ),.i_rst           ( i_rst     ),.i_start         ( 1'b1      ),.i_ad_data       ( 16'd11    ),.i_ad_busy       ( 1'b0      ),.i_first_data    (  ),.o_ad_os         (  ),.o_ad_cs         (  ),.o_ad_rd         (  ),.o_ad_reset      (  ),.o_ad_convstA    (  ),.o_ad_convstB    (  ),.o_ad_ch1        (  ),.o_ad_ch1_valid  (  ),.o_ad_ch2        (  ),.o_ad_ch2_valid  (  ),.o_ad_ch3        (  ),.o_ad_ch3_valid  (  ),.o_ad_ch4        (  ),.o_ad_ch4_valid  (  ),.o_ad_ch5        (  ),.o_ad_ch5_valid  (  ),.o_ad_ch6        (  ),.o_ad_ch6_valid  (  ),.o_ad_ch7        (  ),.o_ad_ch7_valid  (  ),.o_ad_ch8        (  ),.o_ad_ch8_valid  (  )
);endmodule

在这里插入图片描述

  放大局部来看

在这里插入图片描述

  1. 复位持续了120ns,最低时序要求是复位50ns,复位信号满足时序要求
  2. CONVST下降沿到CONVST上升沿之间为80ns,最低时序要求是25ns,满足时序要求

在这里插入图片描述

  1. CONVST高电平到CS低电平,手册写的转换时间tCONV最低3.45us、最长4.15us。我们这里取4.16us,满足最长转换时序。

在这里插入图片描述

  1. RD一个周期的时间为80ns,高低电平时间为40ns,满足时序要求最高的32ns
  2. 上一个CONVST上升沿在450ns,下一个上升沿在5470ns,一个tCYCLE时间为5.02us,满足手册要求的最长tCYCLE5us。

  因此,所有输出信号都满足了手册的时序要求,AD7606并行模式仿真验证成功,后续有AD7606模块后再下板验证。

四、AD7606串行模式时序分析以及实现

4.1 串行模式时序图

在这里插入图片描述

  串行我们使用转换期间读取模式
在这里插入图片描述
  等busy信号拉低后,就可以拉低CS信号,然后提供SCLK给AD7606,数据按照每bit的传输,用户在SCLK上升沿采样,传输第一个通道的位数据时FRSTDATA会拉高。

4.2 串行模式时序要求

  CS信号,CONVST信号和并行一致,剩下的时序如下所示:
在这里插入图片描述

4.3 代码编写

  顶层我们设置三个参数变量,分别为系统时钟,SPI时钟,和采样周期,这样就能通过这些变量来计算出我们需要的分频计数值。

module ad7606_ctrl_ser#(parameter   SYS_CLK_FREQ   = 100_000_000,            //系统时钟频率SPI_CLK_FREQ   = 20_000_000,            //SPI时钟频率AD_CAP_FREQ    = 200_000                //AD7606采样频率最大200K
)
(input                                               i_clk           ,   // 输入系统时钟,100minput                                               i_rst           ,   input                                               i_start         ,   // 7606开始转换控制信号  input                                               i_ad_data_A     ,   // ad7606 1,2,3,4通道采样数据input                                               i_ad_data_B     ,   // ad7606 5,6,7,8通道采样数据input                                               i_ad_busy       ,   // ad7606 忙标志位 output          [ 2:0]                              o_ad_os         ,   // ad7606 过采样倍率选择output                                              o_ad_cs         ,   // ad7606 AD csoutput                                              o_ad_sclk       ,   // ad7606 AD data readoutput                                              o_ad_reset      ,   // ad7606 AD resetoutput                                              o_ad_convstA    ,   // ad7606 AD convert startAoutput                                              o_ad_convstB    ,   // ad7606 AD convert startBoutput          [15:0]                              o_ad_ch1        ,   // AD第1通道的数据output          [15:0]                              o_ad_ch2        ,   // AD第2通道的数据output          [15:0]                              o_ad_ch3        ,   // AD第3通道的数据output          [15:0]                              o_ad_ch4        ,   // AD第4通道的数据output          [15:0]                              o_ad_ch5        ,   // AD第5通道的数据output          [15:0]                              o_ad_ch6        ,   // AD第6通道的数据output          [15:0]                              o_ad_ch7        ,   // AD第7通道的数据output          [15:0]                              o_ad_ch8        ,   // AD第8通道的数据	output                                              o_ad_cap_done       // AD所有通道采集数据完成信号
);

  状态机和分频系数定义如下,由于是串行输入,所以设置一个READ状态即可

 /***************parameter*************/
localparam                                          T5US_DIV    = SYS_CLK_FREQ / 200_000 -1       ; //200Kbps采样率,因此采样周期为5us
localparam                                          SPI_DIV     = SYS_CLK_FREQ / 20_000_000 -1    ; //SPI时钟分频系数
localparam                                          SPI_DIV1    = SPI_DIV/2;                        //半周期分频
localparam                                          RESET       = 4'd0 ;                            //复位状态
localparam                                          AD_CONSVT   = 4'd1 ;                            //开启转换
localparam                                          BUSY        = 4'd2 ;                            //等待busy
localparam                                          READ        = 4'd3 ;                            //读数据
localparam                                          READ_DONE   = 4'd4 ;                            //读完成

  设置两个64bit寄存器来存放几个通道的串行数据,然后再分别分配各各个通道:

reg             [63:0]                              ad_dataA        ;
reg             [63:0]                              ad_dataB        ;
assign o_ad_ch1        = ad_dataA[63:48]    ;
assign o_ad_ch2        = ad_dataA[47:32]    ;
assign o_ad_ch3        = ad_dataA[31:16]    ;
assign o_ad_ch4        = ad_dataA[15: 0]    ;
assign o_ad_ch5        = ad_dataB[63:48]    ;
assign o_ad_ch6        = ad_dataB[47:32]    ;
assign o_ad_ch7        = ad_dataB[31:16]    ;
assign o_ad_ch8        = ad_dataB[15: 0]    ;

4.4 添加仿真模型以及观察

  仿真代码如下:

`timescale 1ns / 1ps
module tb_ad7606_ctrl_ser();reg                                                 i_clk   ;
reg                                                 i_rst   ;
wire                                                i_ad_data_A ;
wire                                                i_ad_data_B ;
wire                                                i_ad_busy   ;
wire                                                o_ad_convstA    ;
wire                                                o_ad_convstB    ;
wire                                                o_ad_cs ;
wire                                                o_ad_sclk   ;
wire                                                o_ad_reset  ;initial begini_clk =0;i_rst =1;#250;i_rst = 0;
endalways #5 i_clk = ~i_clk;ad7606_ctrl_ser#(.SYS_CLK_FREQ( 100_000_000  ),.SPI_CLK_FREQ( 20_000_000   ),.AD_CAP_FREQ ( 200_000      )
)u_ad7606_ctrl_ser(.i_clk                     ( i_clk                     ),.i_rst                     ( i_rst                     ),.i_start                   ( 1'b1                      ),.i_ad_data_A               ( i_ad_data_A               ),.i_ad_data_B               ( i_ad_data_B               ),.i_ad_busy                 ( i_ad_busy                 ),.o_ad_os                   (                           ),.o_ad_cs                   ( o_ad_cs                   ),.o_ad_sclk                 ( o_ad_sclk                 ),.o_ad_reset                ( o_ad_reset                ),.o_ad_convstA              ( o_ad_convstA              ),.o_ad_convstB              ( o_ad_convstB              ),.o_ad_ch1                  (              ),.o_ad_ch2                  (              ),.o_ad_ch3                  (              ),.o_ad_ch4                  (              ),.o_ad_ch5                  (              ),.o_ad_ch6                  (              ),.o_ad_ch7                  (              ),.o_ad_ch8                  (              ),.o_ad_cap_done             (              )
);ad7606 ad7606_inst
(
.ad_busy    (i_ad_busy      ),  //ad7606 忙标志位 输出                
.ad_cs      (o_ad_cs        ),  //ad7606 CS信号输入,低电平SPI数据线输出AD7606寄存器数据                   
.ad_sclk    (o_ad_sclk      ),  //ad7606 SCLK时钟输入           
.ad_reset   (o_ad_reset     ),  //ADC复位输入         
.ad_convsta (o_ad_convstA   ),  //ad7606 A组通道转换         
.ad_convstb (o_ad_convstB   ),  //ad7606 B组通道转换      
.ad_range   (1'b0           ),  //ad7606 模拟输入范围,设置1范围:±10V,设置0范围±5V
.ad_out_a   (i_ad_data_A    ),  //A组通道采集有效数据输出 
.ad_out_b   (i_ad_data_B    )   //B组通道采集有效数据输出
); endmodule

  采样周期5.07us,满足AD7606最大的5us
在这里插入图片描述

在这里插入图片描述
  采样出来的仿真模型也是正确的,至此AD7606的串行和并行验证都完成。
  本文资料获取:
AD7606串行输出verilog代码以及串行仿真模型和AD7606中文原理图
AD7606并行输出verilog代码以及AD7606中文原理图

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/417713.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Oracle版本简介手册

Oracle版本简介手册 图1—数据库发布路线图表 Oracle数据库的各个版本反映了其技术的发展历程和功能增强,从最早的Oracle 1(1979年)到最新的版本,每个版本都带来了新的特性和改进,以满足不断变化的企业需求。以下是Or…

d3dcompiler_47.dll缺失的可能原因多种多样,那么d3dcompiler_47.dll缺失怎么修复

在数字世界的深处,d3dcompiler_47.dll文件扮演着至关重要的角色,它是Direct3D编译器的一部分,负责处理图形渲染和游戏运行中的关键任务。然而,当用户启动某个程序或游戏时,屏幕上突然弹出的错误提示“d3dcompiler_47.d…

【运维监控】influxdb 2.0+telegraf 监控tomcat 8.5运行情况(1)

关于java应用的监控本系列有文章如下: 【运维监控】influxdb 2.0telegraf 监控tomcat 8.5运行情况 【运维监控】influxdb 2.0grafana 监控java 虚拟机以及方法耗时情况 【运维监控】Prometheusgrafana监控tomcat运行情况 【运维监控】Prometheusgrafana监控spring b…

使用mobaxterm连接linux出现连接中断问题

1.问题描述 使用mobaxterm在连接到远程服务器时,如果隔一段时间不进行操作的话,会出现中断连接的现象。 2.解决 为了增强Linux系统的安全性,我们需要在用户输入空闲一段时间后自动断开,这个操作可以由设置TMOUT值来实现。将以下…

全球圆柱锂电池行业领军者!比克电池亮相2024深圳eVTOL展

2024深圳eVTOL产业发展大会暨低空经济展览会将于9月23-25日在深圳坪山燕子湖国际会展中心举办。展会将通过“两天论坛三天展览”的形式展开,专注未来城市空中交通新形态、民用有人驾驶、无人驾驶航空器、城市低空物流,并讨论eVTOL的整机研发、设计、制造…

【云计算】什么是云计算服务|为什么出现了云计算|云计算的服务模式

文章目录 什么是云计算服务本地部署VS云计算SaaS PaaS IaaS公有云、私有云、混合云为什么优先发展云计算服务的厂商是亚马逊、阿里巴巴等公司 什么是云计算服务 根据不同的目标用户,云计算服务(Cloud Computing Services)分为两种&#xff1…

制作自己的游戏:打砖块

文章目录 🚀 前言🚀 前期准备🚀 玩法设计🚀 游戏场景🍓 什么是游戏场景🍓 绘制左上角积分🍓 绘制右上角生命值🍓 绘制砖块🍓 绘制小球🍓 绘制挡板&#x1f35…

Java实用类——StringBuffer类和StringBuilder类

StringBuffer类和StringBuilder类位于java.util包中,是String类的增强型,提供了很多方法可供使用 StringBuffer和StringBuilder出现的原因是:使用拼接字符串会浪费大量内存空间 String a "Hello"; a a "chmy"; 新的…

分类任务实现模型集成代码模版

分类任务实现模型(投票式)集成代码模版 简介 本实验使用上一博客的深度学习分类模型训练代码模板-CSDN博客,自定义投票式集成,手动实现模型集成(投票法)的代码。最后通过tensorboard进行可视化&#xff0…

Datawhale x李宏毅苹果书AI夏令营深度学习详解进阶Task03

在深度学习中,批量归一化(Batch Normalization,BN)技术是一种重要的优化方法,它可以有效地改善模型的训练效果。本文将详细讨论批量归一化的原理、实现方式、在神经网络中的应用,以及如何选择合适的损失函数…

Python-面向对象编程(超详细易懂)

面向对象编程(oop) 面向对象是Python最重要的特性,在Python中一切数据类型都是面向对象的。 面向对象的编程思想:按照真实世界客观事物的自然规律进行分析,客观世界中存在什么样的实体,构建的软件系统就存在…

视频监控管理平台LntonAIServer视频智能分析噪声检测应用场景

在视频监控系统中,噪声问题常常影响到视频画面的清晰度和可用性。噪声可能由多种因素引起,包括但不限于低光环境、摄像机传感器灵敏度过高、编码压缩失真等。LntonAIServer通过引入噪声检测功能,旨在帮助用户及时发现并解决视频流中的噪声问题…

linux 内核代码学习(八)

总体目标:由于fedora10 linux发行版中自带的linux2.6.xx内核源码规模太庞大了,对于想通读内核源码的爱好者来说太困难了,因此选择了linux2.4.20内核来进行测试(最终是希望能够实现linux1.0内核的源码完全编译和测试)。…

了解一下HTTP 与 HTTPS 的区别

介绍: HTTP是超文本传输协议。规定了客户端(通常是浏览器)和服务器之间如何传输超文本,也就是包含链接的文本。通常使用TCP【1】/IP协议来传输数据,默认端口为80。 HTTPS是超文本传输安全协议,具有CA证书。…

【RLHF】浅谈ChatGPT 等大模型中的RLHF算法

本文收录于《深入浅出讲解自然语言处理》专栏,此专栏聚焦于自然语言处理领域的各大经典算法,将持续更新,欢迎大家订阅!​个人主页:有梦想的程序星空​个人介绍:小编是人工智能领域硕士,全栈工程…

TCP的流量控制深入理解

在理解流量控制之前我们先需要理解TCP的发送缓冲区和接收缓冲区,也称为套接字缓冲区。首先我们先知道缓冲区存在于哪个位置? 其中缓冲区存在于Socket Library层。 而我们的发送窗口和接收窗口就存在于缓冲区当中。在实现滑动窗口时则将两个指针指向缓冲区…

STM32F103调试DMA+PWM 实现占空比逐渐增加的软启效果

实现效果:DMAPWM 实现PWM输出时,从低电平到输出占空比逐渐增加再到保持高电平的效果,达到控制 MOS 功率开关软启的效果。 1.配置时钟 2.TIM 的 PWM 功能配置 选择、配置 TIM 注意:选择 TIM 支持 DMA 控制输出 PWM 功能的通道&a…

使用Unity的准备

下载Unity 下载Unity Hub Unity - 实时内容开发平台 | 3D、2D、VR & AR可视化https://unity.cn/ 创建账号或者登入账号 Unity安装 路径尽量为英文路径 登入账号 点击头像登入账号 这里已经登入 打开偏好 设置中文 添加许可证 获取免费版的即可 安装编辑器 新建项目…

mysql-PXC实现高可用

mysql8.0使用PXC实现高可用 什么是 PXC PXC 是一套 MySQL 高可用集群解决方案,与传统的基于主从复制模式的集群架构相比 PXC 最突出特点就是解决了诟病已久的数据复制延迟问题,基本上可以达到实时同步。而且节点与节点之间,他们相互的关系是…

PHP一站式解决方案高级房产系统小程序源码

一站式解决方案,高级房产系统让房产管理更轻松 🏠【开篇:告别繁琐,迎接高效房产管理新时代】🏠 你是否还在为房产管理的繁琐流程而头疼?从房源录入、客户咨询到合同签订、售后服务,每一个环节…