英伟达NVIDIA数字IC后端笔试真题(ASIC Physical Design Engineer)

今天小编给大家分享下英伟达NVIDIA近两年数字IC后端笔试真题(ASIC Physical Design)

  1. 请使用OR门和INV反相器来搭建下面所示F逻辑表达式的电路图。

在这里插入图片描述

在这里插入图片描述
数字IC后端设计如何从零基础快速入门?(内附数字IC后端学习视频)

2024届IC秋招兆易创新数字IC后端笔试面试题

2024届IC秋招兆易创新数字IC后端笔试面试题

  1. 异步复位&同步复位
  1. What is synchronous reset and asynchronous reset?
  2. Please list at least 1 disadvantage each for synchronous and asynchronous reset respectively. How to resolve these issues? How to solve these issues?

Synchronous reset means reset is sampled with respect to clock. In other words, when reset is enabled, it will not be effective until the next active clock edge.
In asynchronous reset, reset is sampled independent of clk. That means, when reset is enabled it will be effective immediately and will not check or wait for the active clock edges.
2) Disadvantages of synchronous reset

Reset signal needs to be stretched, if it is not long enough to be seen at the active clock edge.
Requires a clock to be present if reset is to occur
If there are internal tri-state buffers, separate asynchronous reset may still be required
If there are gated clocks for power saving, this type of reset is not suitable.
Synthesis will not be able to easily differentiate reset from other signals. So this has to be taken care while doing synthesis. Otherwise it may lead to timing issues.
3) Disadvantages of asynchronous reset

Reset line is sensitive to glitches.
There may be metastability issues in the circuits.
4) Solution

Asynchronous reset and synchronous release(异步复位同步释放).

  1. 数字后端名词解释

Please briefly describe below terminologies in digital circuit design:combination logic, gray code, metastability, lockup latch,setup, static power, logic synthesis, time borrow, power gating, process variation

  1. combinational logic: A type of digital logic implemented by Boolean circuit, where the output is a pure function of the present input only. Combinational logic does not require clock to realize the function.

  2. gray code: Gray code is an ordering of the binary numeral system such that two successive values differ in only one bit.

  3. metastability: States where the signals can settle to an intermediate value between logic 0 and logic 1, this is called metastable state.

  4. lockup latch: A lockup latch is a transparent latch used to avoid large clock skew and mitigate the problem in closing hold timing due to large uncommon clock path. Lockup latch is an important element in scan-based designs, especially for hold timing closure of shift modes. Lockup latches are necessary to avoid skew problems during shift phase of scan-based testing.

吾爱IC:原来负沿Latch可以用来修hold(Timing borrowing及其应用)
21 赞同 · 3 评论文章
在这里插入图片描述

  1. setup: The setup time is the time during which a data signal must remain stable before the active clock edge.

  2. static power: Power consumption caused by leakage currents including sub-threshold leakage, gate leakage, gate induced drain leakage, reverse bias junction leakage.

  3. logic synthesis: The process of converting a functional behavioral model of a system represented as RTL model to structural (logical gate netlist) description model is called logic synthesis. It is a process of creating logic circuit from circuit description.

  4. time borrow: Time borrow, also called cycle stealing, occurs at a latch. Since a latch is transparent when the clock is active, the data can arrive later than the active clock edge, that is, it can borrow time from the next cycle.
    在这里插入图片描述

  5. power gating: Shut down the power supply to a block of logic when it is not active.

在这里插入图片描述

  1. process variation: Operating conditions like process, temperature, and voltage define the process variations, which affect the functionality and performance of the SOC design.

在这里插入图片描述

11)Please briefly introduce what is physical synthesis. What are the benefits compared with logical only synthesis? (考查逻辑综合和物理综合基本概念和各自的优缺点)

4.利用脚本处理文件
There is a file named “scores.txt” which has below format: name score. Please read this file and print out the passing list (score >= 60) & the failing list (score < 60), both list ranking by scores from highest to lowest. You can use Perl/Tcl/Python/C to implement the code.
File scores.txt:

Joe 90

Tom 58

Jim 70

James 95

Alan 82

John 89

Mike 85

Sandy 55

Nancy 88

Sherry 72

Alice 80

Tony 60

脚本处理题记得借助chatGPT来完成。

open IN,'<','scores.txt';
my %passing_list,%failing_list;
while(<IN>){if(/(\w+)\s+(\d+)/){$name = $1;$score = $2;if($score>=60){$passing_list{$name} = $score;}if($score<60){$failing_list{$name} = $score;}}
}
print "Passing list:\n";
foreach $key (sort {$passing_list{$b} <=> $passing_list{$a}} keys %passing_list){print "\t$key\t$passing_list{$key}\n";
};
print "\n";
print "Failing list:\n";
foreach $key (sort {$failing_list{$b} <=> $failing_list{$a}} keys %failing_list){print "\t$key\t$failing_list{$key}\n";
};   
close IN;

英伟达Nvidia几乎每年都会考到利用tcl等脚本语言来处理文本文件。

在这里插入图片描述

5.crosstalk知识点考查

Below figure shows a circuit that include cross-coupled capacitances. Please answer the following questions: 1) The waveforms on A, B and clk are shown as below. Considering the impact of crosstalk, please draw the waveform on pin D. And analyze the timing impact on pin D under below condition. 2) Please list at least 3 methods to reduce the impact of crosstalk and analyze its disadvantage.

在这里插入图片描述

1)Considering the impact of crosstalk, the transition time of signal on D pin will be reduced compared with waveform on B. This is because aggressor switching (net a) in same direction can result in a smaller delay for the switching net b.

2)if required, shielding wires between the nets, with Vdd and Vss nets to be run between two parallel long nets.(Disadvantages: shielding consumes more power, increases routing area and add interconnect complexity.)

not to run two long parallel interconnects on the same layer(Disadvantages: add interconnect complexity.)
increase spacing of parallel interconnect nets ( Disadvantages: need more routing resources and may increase die area.)
increase drive of victim net and decease drive of aggressor net.
use different routing layers (Disadvantages: need more routing resources.)

6.时序计算题

在这里插入图片描述

时序计算题也是英伟达NV每年必考的题目。大家一定要都能做对。

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

According to below timing report (the unit is ns), please answer following questions:

1)What check is this report for? Please point out the clues in the timing report.

2)What’s the max frequency this path can achieve?

3)What’s the main reason for this violation? List at least 3 ways that may fix this violation.

7.画一个两输入或门的版图

在这里插入图片描述

8.低功耗设计实现技术Clock Gating

在这里插入图片描述
秒杀数字后端实现中clock gating使能端setup violation问题

在这里插入图片描述

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/430510.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

WEB领域是不是黄了还是没黄

进入2024年后&#xff0c;WEB领域大批老表失业&#xff0c;一片哀嚎&#xff0c;个个饿的鬼叫狼嚎&#xff0c;为啥呢&#xff0c;下面是我个人的见解和看法。 中国程序员在应用层的集中 市场需求&#xff1a;中国的互联网行业在过去几年中经历了爆炸性增长&#xff0c;尤其是…

RAG技术全面解析:Langchain4j如何实现智能问答的跨越式进化?

LLM 的知识仅限于其训练数据。如希望使 LLM 了解特定领域的知识或专有数据&#xff0c;可&#xff1a; 使用本节介绍的 RAG使用你的数据对 LLM 进行微调结合使用 RAG 和微调 1 啥是 RAG&#xff1f; RAG 是一种在将提示词发送给 LLM 之前&#xff0c;从你的数据中找到并注入…

Linux-DHCP服务器搭建

环境 服务端&#xff1a;192.168.85.136 客户端&#xff1a;192.168.85.138 1. DHCP工作原理 DHCP动态分配IP地址。 2. DHCP服务器安装 2.1前提准备 # systemctl disable --now firewalld // 关闭firewalld自启动 # setenforce 0 # vim /etc/selinux/config SELINU…

828华为云征文|华为云Flexus云服务器X实例 基于CentOS系统镜像快速部署Laravel开源论坛

最近公司可热闹了&#xff01;大家都在为搭建博客论坛系统忙得不可开交&#xff0c;尤其是在选服务器这件事儿上&#xff0c;那叫一个纠结。 同事 A 说&#xff1a;“咱得选个厉害的服务器&#xff0c;不然这论坛以后卡得跟蜗牛爬似的可咋办&#xff1f;” 同事 B 回应道&#…

【AcWing】【C++】模板之区间和与区间合并

最近在对程序设计算法进行复习&#xff0c;终于复习完了AcWing基础算法课的第一章&#xff0c;在此对第一章最后两个模板区间和与区间合并进行记录与分享。 区间和 题目描述与输入输出样例 题目来自于AcWing 802. 区间和。 思路 从题目描述来说&#xff0c;第一眼看来这是…

Fyne ( go跨平台GUI )中文文档-入门(一)

本文档注意参考官网(developer.fyne.io/) 编写, 只保留基本用法go代码展示为Go 1.16 及更高版本, ide为goland2021.2 这是一个系列文章&#xff1a; Fyne ( go跨平台GUI )中文文档-入门(一)-CSDN博客 Fyne ( go跨平台GUI )中文文档-Fyne总览(二)-CSDN博客 Fyne ( go跨平台GUI )…

镭射限高防外破预警装置-线路防外破可视化监控,安全尽在掌握中

镭射限高防外破预警装置-线路防外破可视化监控&#xff0c;安全尽在掌握中 在城市化浪潮的汹涌推进中&#xff0c;电力如同现代社会的生命之脉&#xff0c;其安全稳定运行直接关系到每一个人的生活质量和社会的整体发展。然而&#xff0c;随着建设的加速&#xff0c;电力设施通…

部署wordpress项目

一、先部署mariadb 二、在远程登录工具上进行登录测试&#xff0c;端口号为30117&#xff0c;用户为 root&#xff0c;密码为123 三、使用测试工具&#xff1a; [rootk8s-master aaa]# kubectl exec -it pods/cluster-test0-58689d5d5d-7c49r -- bash 四、部署wordpress [root…

论文阅读 | 基于流模型和可逆噪声层的鲁棒水印框架(AAAI 2023)

Flow-based Robust Watermarking with Invertible Noise Layer for Black-box DistortionsAAAI, 2023&#xff0c;新加坡国立大学&中国科学技术大学本论文提出一种基于流的鲁棒数字水印框架&#xff0c;该框架采用了可逆噪声层来抵御黑盒失真。 一、问题 基于深度神经网络…

【AI算法岗面试八股面经【超全整理】——NLP】

AI算法岗面试八股面经【超全整理】 概率论【AI算法岗面试八股面经【超全整理】——概率论】信息论【AI算法岗面试八股面经【超全整理】——信息论】机器学习【AI算法岗面试八股面经【超全整理】——机器学习】深度学习【AI算法岗面试八股面经【超全整理】——深度学习】NLP【A…

教师管理系统小程序+ssm论文源码调试讲解

第二章 开发工具及关键技术介绍 2.1 JAVA技术 Java主要采用CORBA技术和安全模型&#xff0c;可以在互联网应用的数据保护。它还提供了对EJB&#xff08;Enterrise JavaBeans&#xff09;的全面支持&#xff0c;java servlet AI&#xff0c;JS&#xff08;java server ages&…

Unity 热更新(HybridCLR+Addressable)-创建Addressable资源

三、创建Addressable资源 创建三个文件夹&#xff0c;放Addressable资源&#xff0c;里面对应放程序集&#xff0c;预制体以及场景 拖拽到Addressable Groups对应组中 其中文件名太长&#xff0c;带着路径&#xff0c;可以简化名字 创建一个脚本&#xff0c;对于这个脚本进行一…

vue3自定义hooks

引言 Vue3引入了组合式API&#xff0c;使得代码逻辑更自由、灵活。其中自定义Hooks能让我们将客服用的逻辑抽离成一个独立的函数&#xff0c;以实现在多个组件中复用的目的。可以简单理解成封装成一个模块&#xff0c;以方便其他地方调用。 实现 自定义hooks useDog impor…

杰发科技——Eclipse环境安装

文件已传到网盘&#xff1a; 1. 安装文件准备 2. 安装Make 默认路径&#xff1a;C:\Program Files (x86)\GnuWin32\bin\ 不复制的话会报错 Error: Program "make" not found in PATH 3. 安装工具链 默认路径&#xff1a;C:\Program Files (x86)\Arm GNU Toolchain…

闯关leetcode——69. Sqrt(x)

大纲 题目地址内容 解题代码地址 题目 地址 https://leetcode.com/problems/sqrtx/description/ 内容 Given a non-negative integer x, return the square root of x rounded down to the nearest integer. The returned integer should be non-negative as well. You mu…

计算机毕业设计之:基于微信小程序的中药材科普系统(源码+文档+讲解)

博主介绍&#xff1a; ✌我是阿龙&#xff0c;一名专注于Java技术领域的程序员&#xff0c;全网拥有10W粉丝。作为CSDN特邀作者、博客专家、新星计划导师&#xff0c;我在计算机毕业设计开发方面积累了丰富的经验。同时&#xff0c;我也是掘金、华为云、阿里云、InfoQ等平台…

模拟实现(优先级队列)priority_queue:优先级队列、仿函数、 反向迭代器等的介绍

文章目录 前言一、优先级队列二、仿函数三、 反向迭代器总结 前言 模拟实现&#xff08;优先级队列&#xff09;priority_queue&#xff1a;优先级队列、仿函数、 反向迭代器等的介绍 一、优先级队列 优先级队列本质是一个堆&#xff0c;使用vector容器进一步改进进行实现&am…

vue使用PDF.JS踩的坑--部署到服务器上显示pdf.mjs viewer.mjs找不到资源

之前项目使用的pdf.js 是2.15.349版本&#xff0c;最近换了一个4.6.82的版本&#xff0c;在本地上浏览文件运行的好好的&#xff0c;但是发布到服务器&#xff08;IIS&#xff09;上打不开文件&#xff0c;控制台提示找不到pdf.mjs viewer.mjs。 之前使用的2.15.349pdf和viewer…

mock虚拟接口技术

一、什么是mock mock指的就是使用mock创建出来的一个虚拟的接口 二、对于测试人员而言&#xff0c;我们为什么要使用mock 当我们进行接口测试时&#xff0c;如果对应的接口还没有开发好&#xff0c;但是我们又需要用到这个接口响应的信息&#xff0c;这个时候我们就可以使用…

邮件发送高级功能详解:HTML格式、附件添加与SSL/TLS加密连接

目录 一、邮件HTML格式设置 1.1 HTML邮件的优势 1.2 HTML邮件的编写 二、添加附件 2.1 附件的重要性 2.2 添加附件的代码示例 2.3 注意事项 三、使用SSL/TLS加密连接 3.1 SSL/TLS加密的重要性 3.2 SSL/TLS加密的工作原理 3.3 在邮件发送中启用SSL/TLS 3.3.1 邮件客…