第4章_瑞萨MCU零基础入门系列教程之瑞萨 MCU 源码设计规范

本教程基于韦东山百问网出的 DShanMCU-RA6M5开发板 进行编写,需要的同学可以在这里获取: https://item.taobao.com/item.htm?id=728461040949

配套资料获取:https://renesas-docs.100ask.net

瑞萨MCU零基础入门系列教程汇总: https://blog.csdn.net/qq_35181236/article/details/132779862


第4章 瑞萨 MCU 源码设计规范

本章目标

  • 了解 FSP 源码结构和设计规范
  • 理解模块设计思路与调用方法

4.1 总体框架

4.1.1 源码层次与目录

瑞萨给开发者提供了“灵活配置软件包”(FSP,Flexible Software Package),从底往上提供了多层次的软件,如下图所示:

image1

从下往上可以分为这几层:

  1. 板级支持包(BSP,Board Support Package):简单地说,从上电开始执行的第 1 条指令直到 main 函数,这个过程的代码就是 BSP 提供的。它的主要任务是确保 MCU 从复位状态切换为用户应用程序状态。在此过程中,它将设置时钟、中断、栈、堆及 C 语言运行环境。它还会配置端口的 I/O 引脚,并执行任何特定的电路板初始化。函数以"R_BSP_"开头,宏以"BSP_“开头,数据类型以”_bsp"开头。
  2. 硬件抽象层驱动(HAL,Hardware Abstraction Layer Drivers):简单地说,使用 BSP的代码可以让程序运行到 main 函数,但是在 main 函数里怎么去访问 GPIO、I2C、SPI 等设备,需要使用 HAL 的代码。HAL 就是对 MCU 寄存器操作的封装,通过 HAL 函数,编写程序时无需关注底层具体的硬件操作,而是把精力放在更上层的操作上,这样编写的代码也更容易移植到其他 MCU 上。函数名以"R_"开头。
  3. 中间件(Middleware):中间件层位于 HAL 层之上、用户应用程序之下,为应用程序提供功能栈和协议。比如想模拟一个 USB 串口时,HAL 层已经实现了 USB 的传输,而 USB 串口协议是在 USB 传输之上实现的一套机制,USB 串口协议是一套纯软件的协议,可以归为中间件。
  4. 实时操作系统(RTOS,Real Time Operating System):它仅仅依赖于底下的 BSP,提供多任务、同步互斥等功能。
  5. 应用程序(Application):在最上层,它可以使用 HAL 函数访问硬件,也可以使用中间件完成复杂的功能。

以第 1 个程序为例,工程目录如下:

  1. BSP 源码:从文件名字可以知道功能为启动、系统、时钟/中断相关的操作
  1. HAL 源码:这个程序只涉及 GPIO 的操作,所以只有 ioport 相关的 HAL 源码
  1. BSP 的配置文件:这些文件是 FSP 的配置工具生成的,里面是 BSP 相关的参数
  1. 用户数据:比如用户在 FSP 配置界面选择使用哪些 GPIO、哪些 SPI 控制器

  1. 用户代码(Application):可以在 hal_entry.c 里添加自己的代码

image2.4

  1. 链接脚本:使用 e2 studio 时,它是使用 GNU GCC 工具链来编译程序,需要链接脚本

4.1.2 调用过程示例

以工程“MyBlinkyProject”为例,在 hal_entry.c 中,操作 LED 的代码如下:

void hal_entry(void)
{/* TODO: add your own code here */extern bsp_leds_t g_bsp_leds;bsp_leds_t Leds = g_bsp_leds;while (1){g_ioport.p_api->pinWrite(&g_ioport.p_ctrl, Leds.p_leds[BSP_LED_LED1],         			BSP_IO_LEVEL_LOW);R_BSP_SoftwareDelay(1, BSP_DELAY_UNITS_SECONDS);g_ioport.p_api->pinWrite(&g_ioport.p_ctrl, Leds.p_leds[BSP_LED_LED1],        			BSP_IO_LEVEL_HIGH);R_BSP_SoftwareDelay(1, BSP_DELAY_UNITS_SECONDS);}
#if BSP_TZ_SECURE_BUILD/* Enter non-secure code */R_BSP_NonSecureEnter();
#endif
}
  • 第9行的“g_ioport.p_api->pinWrite”就是调用r_ioport.c里的“R_IOPORT_PinWrite”函数,这是 Application 对 HAL 库函数的调用。

4.2 模块设计思想

使用 FSP 编写程序时有 4 个层次:Application 是用户编写的,Middleware 是第 3 方的代码,BSP 的代码量很少,所以 HAL 层是 FSP 的核心。HAL 层是各个模块的驱动程序,这些驱动程序被称为Module,一个Module向上提供接口供人调用,向下可能要用到其他Module,如下:

怎么使用一个 Module 提供的接口呢?以工程“MyBlinkyProject”为例,有以下 2 种方法调用 r_ioport.c 提供接口:

g_ioport.p_api->pinWrite(&g_ioport.p_ctrl, Leds.p_leds[BSP_LED_LED1], BSP_IO_LEVEL_LOW);
R_IOPORT_PinWrite(&g_ioport_ctrl, Leds.p_leds[BSP_LED_LED1], BSP_IO_LEVEL_LOW);

它们有何不同?这就涉及 FSP 源码设计的理念:

  1. 配置与接口分离
  2. 接口与实例分离

4.2.1 配置与接口分离

以 GPIO 为例,如下图有 1 个 LED、1 个按键:

对于同一个 MCU,PIN1、PIN2 的操作是类似的,它们的接口函数可以只写一套。但是PIN1 需要被设置为输出功能,PIN2 需要被设置为输入功能并且使能它的内部上拉电阻。即:PIN1、PIN2 的接口函数可以是同一套,但是它们的配置是不一样的。

对于 ioport,使用 ioport_pin_cfg_t 结构体来描述一个引脚的配置:

typedef struct st_ioport_pin_cfg
{uint32_t pin_cfg; ///< Pin PFS configuration - Use ioport_cfg_options_t parametersto configurebsp_io_port_pin_t pin; ///< Pin identifier
} ioport_pin_cfg_t;

比如对于 PIN1,在 FSP 的配置工具里把它配置为输出;对于 PIN2,在 FSP 的配置工具里把它配置为输入、上拉,可以得到下面 2 项:

const ioport_pin_cfg_t g_bsp_pin_cfg_data[] ={
……
{ .pin = BSP_IO_PORT_00_PIN_05, .pin_cfg = ((uint32_t) IOPORT_CFG_IRQ_ENABLE| (uint32_t) IOPORT_CFG_PORT_DIRECTION_INPUT | (uint32_t) IOPORT_CFG_PULLUP_ENABLE) },
{ .pin = BSP_IO_PORT_00_PIN_06, .pin_cfg = ((uint32_t) IOPORT_CFG_PORT_DIRECTION_OUTPUT| (uint32_t) IOPORT_CFG_PORT_OUTPUT_LOW) },
……
};

使用硬件前,需要使用接口函数根据用户提供的配置信息来配置硬件。对于 ioport,使用 ioport_api_t 结构体来描述引脚的接口函数,在 r_ioport.c 里可以看到如下结构体:

/* IOPort Implementation of IOPort Driver */
const ioport_api_t g_ioport_on_ioport =
{.open = R_IOPORT_Open,.close = R_IOPORT_Close,.pinsCfg = R_IOPORT_PinsCfg,.pinCfg = R_IOPORT_PinCfg,.pinEventInputRead = R_IOPORT_PinEventInputRead,.pinEventOutputWrite = R_IOPORT_PinEventOutputWrite,.pinRead = R_IOPORT_PinRead,.pinWrite = R_IOPORT_PinWrite,.portDirectionSet = R_IOPORT_PortDirectionSet,.portEventInputRead = R_IOPORT_PortEventInputRead,.portEventOutputWrite = R_IOPORT_PortEventOutputWrite,.portRead = R_IOPORT_PortRead,.portWrite = R_IOPORT_PortWrite,
};

对于 ioport,配置与接口是分离的:在 ioport_cfg_t 参数里指定引脚、指定配置值,然后调用“pinCfg”函数指针去配置引脚。使用 FSP 的配置工具时,选择某个引脚、设置它的参数,就会生成对应的 ioport_cfg_t 结构体。当我们编写程序调用 r_ioport.c 里的pinCfg”函数指针时,传入这个 ioport_cfg_t 结构体。

4.2.2 接口与实例分离

假设有如下图所示的两代产品,它们的 LED 接法不一样:

image2.7

对于第 1 代产品,在 r_ioport.c 里已经实现了如下结构体:

/* IOPort Implementation of IOPort Driver */
const ioport_api_t g_ioport_on_ioport =
{.open = R_IOPORT_Open,.close = R_IOPORT_Close,.pinsCfg = R_IOPORT_PinsCfg,.pinCfg = R_IOPORT_PinCfg,.pinEventInputRead = R_IOPORT_PinEventInputRead,.pinEventOutputWrite = R_IOPORT_PinEventOutputWrite,.pinRead = R_IOPORT_PinRead,.pinWrite = R_IOPORT_PinWrite,.portDirectionSet = R_IOPORT_PortDirectionSet,.portEventInputRead = R_IOPORT_PortEventInputRead,.portEventOutputWrite = R_IOPORT_PortEventOutputWrite,.portRead = R_IOPORT_PortRead,.portWrite = R_IOPORT_PortWrite,
};

对于第 2 代产品,我们可以在 r_spiioport.c 里实现如下结构体:

/* IOPort Implementation of SPIIOPort Driver */
const ioport_api_t g_spiioport_on_ioport =
{.open = R_SPIIOPORT_Open,.close = R_SPIIOPORT_Close,.pinsCfg = R_SPIIOPORT_PinsCfg,.pinCfg = R_SPIIOPORT_PinCfg,.pinEventInputRead = R_SPIIOPORT_PinEventInputRead,.pinEventOutputWrite = R_SPIIOPORT_PinEventOutputWrite,.pinRead = R_SPIIOPORT_PinRead,.pinWrite = R_SPIIOPORT_PinWrite,.portDirectionSet = R_SPIIOPORT_PortDirectionSet,.portEventInputRead = R_SPIIOPORT_PortEventInputRead,.portEventOutputWrite = R_SPIIOPORT_PortEventOutputWrite,.portRead = R_SPIIOPORT_PortRead,.portWrite = R_SPIIOPORT_PortWrite,
};

现在有两个接口结构体:g_ioport_on_ioport、g_spiioport_on_ioport,使用哪一个?在哪里指定?需要引入另一个概念:实例。以 ioport 为例,有如下结构体类型:

/** This structure encompasses everything that is needed to use an instance of this 
interface.
*/
typedef struct st_ioport_instance
{ioport_ctrl_t * p_ctrl; ///< Pointer to the control structure for this instanceioport_cfg_t const * p_cfg; ///< Pointer to the configuration structure for this instanceioport_api_t const * p_api; ///< Pointer to the API structure for this instance
} ioport_instance_t;

ioport_instance_t 结构体中有 3 个成员:

  1. p_cfg 指针:使用不同的引脚、不同的配置时,就让它指向一个对应的配置结构体;
  2. p_api 指针:使用不同的硬件接口时,就让它指向对应的接口函数结构体;
  3. p_ctrl 指针:起辅助作用,比如用来标记是否启用了该模块、记录它的寄存器基地址

以工程“MyBlinkyProject”为例,在 ra_gen\common_data.c 中定义了一个实例化对象:

const ioport_instance_t g_ioport =
{ .p_api = &g_ioport_on_ioport, .p_ctrl = &g_ioport_ctrl, .p_cfg = &g_bsp_pin_cfg, };

g_ioport 里:

  • p_cfg 指向 g_bsp_pin_cfg,它是配置信息;
  • p_api 指向 g_ioport_on_ioport,它是接口信息;
  • p_ctrl 指向 g_ioport_ctrl,它只是被用来记录驱动是否被打开。

对于第 1 代产品,g_ioport 的 p_api 指向 g_ioport_on_ioport;对于第 2 代产品,让它指向 g_spiioport_on_ioport。使用实例化结构体 g_ioport 来操作 LED 时,即使更换了底层的操作接口,用户的代码仍然无需改变:

g_ioport.p_api->pinWrite(&g_ioport.p_ctrl, Leds.p_leds[BSP_LED_LED1], BSP_IO_LEVEL_LOW);

如果直接使用接口函数操作 LED 的话,如下:

R_IOPORT_PinWrite(&g_ioport_ctrl, Leds.p_leds[BSP_LED_LED1], BSP_IO_LEVEL_LOW);

对于第 2 代产品,就需要修改成另一个接口,如下:

R_SPIIOPORT_PinWrite(&g_ioport_ctrl, Leds.p_leds[BSP_LED_LED1], BSP_IO_LEVEL_LOW);

使用实例化结构体来操作硬件,在代码的统一性、可读性和可移植性上是有很大优势的。它允许应用程序和硬件之间的进一步抽象。更改底层的外围设备时,只需要修改实例化结构体,不需要更改应用层代码。在实际开发过程中,也可以直接调用底层 API 函数(比如 R_IOPORT_PinWrite),这有两个原因:

  1. 基于编译器优化的考虑:假设定义了 10 个 API 接口函数,但是应用层代码只用到 1 个,那么另外的 9 个函数是可以被“优化掉”的,它们可以不被编进可执行程序里。如果使用实例化结构体的话,因为 p_api 里引用了这 10 个函数,它们都不会被优化掉。
  2. 一些客户可能只希望调用最底层的 API(避免过于繁琐的函数指针)。

4.3 代码规范

4.3.1 术语

  • **模块(Module):**模块可以是外设驱动程序、纯软件或介于这两者之间,并且是 FSP 的构建模块。模块通常是独立的单元,但它们可能依赖于其他模块。可以通过组合多个模块来构建应用程序,为用户提供所需功能。
  • 模块实例(Module Instance): 单个、独立的实例化(配置)模块。比如 r_ioport.c实现了 GPIO 的操作,它是一个 Module。要操作某个引脚时,就需要“模块实例”即“ioport_instance_t 结构体”,它里面含有配置信息、接口信息。
  • 接口( Interfaces): 接口包含 API 定义,具有相似功能的模块可以共用这些 API 定义。模块通过这些定义提供常用功能。通过这些 API 定义,使用相同接口的模块可以互换使用。可以将接口视为两个模块之间的合同,两个模块均同意使用合同中达成一致的信息进行协作。接口只是定义,并不会增加代码的大小。比如在 r_ioport_api.h 里定义了 ioport 的 API。
  • 实例(Instances): 接口规定所提供的功能,而实例则真正实现了这些功能。比如r_ioport.h 里定义了 API 接口,在 r_ioport.c 里实现了这些接口,r_ioport.c 就是“实例”。
  • 驱动程序( Drivers): 驱动程序是一种特定类型的模块,可以直接修改 RA 产品家族MCU 上的寄存器。
  • **堆叠(Stacks):**这个单词很容易跟 C 语言里的堆(heap)、栈(stack)混淆,但是在这里它不是堆栈的意思。FSP 架构所采用的设计方式是,模块可以协同工作以形成一个堆叠。堆叠就是由顶层模块及其所有依赖项组成,简单地说就是多个有依赖关系的模块。
  • 应用程序(Application): 归用户所有并由用户维护的代码。
  • 回调函数(Callback Functions): 当有事件发生时(例如,USB 接收到一些数据时),将调用这些函数。它们是应用程序的组成部分,如果用于中断,应尽量简短,因为它们将在中断服务程序内运行,会阻止其他中断执行。

4.3.2 API 命名规则

一般来说,内部函数遵循“NounNounVerb”(名词名词动词)的命名约定,例如CommunicationAbort()。函数的返回值表示是否成功,函数要对外输出结果时,这些结果只在输出参数中返回,并且第一个参数始终是指向其控制结构体的指针。下面是 FSP 中常用前缀:

  1. R_BSP_xxx: BSP 函数的前缀,例如 R_BSP_VersionGet()。
  2. BSP_xxx: BSP 宏的前缀,例如 BSP_IO_LEVEL_LOW。
  3. FSP_xxx: 常用的 FSP的前缀,主要定义错误代码(例如 FSP_ERR_INVALID_ARGUMENT)和版本信息(例如 FSP_VERSION_BUILD)。
  4. g_<interface>on<instance>: 实例的常量全局结构体的名称,用这个结构体管理 API 的各个实现函数,比如 g_ioport_on_ioport 结构体里是 r_ioport.c 实现的各个 API 函数。
  5. r_<interface>_api.h: 接口模块头文件的名称,例如 r_spi_api.h。
  6. R_<MODULE>_<Function>: FSP 驱动程序 API 的名称,例如 R_SPI_WriteRead()。
  7. RM_<MODULE>_<Function>: 中间件函数的名称,例如 RM_BLE_ABS_Open()。

本章完

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/129698.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

【C++11】{}初始化、std::initializer_list、decltype、STL新增容器

文章目录 1. C11简介2. 统一的列表初始化2.1 &#xff5b;&#xff5d;初始化2.2 std::initializer_list 3. 声明3.1 auto3.2 decltype 4. nullptr5. 范围for循环6. 智能指针7. C11STL中的一些变化8. 演示代码 1. C11简介 在2003年C标准委员会曾经提交了一份技术勘误表(简称TC1…

英语单词(1)

1.void:空的 2.main:主要的 3.class:类 4.system:系统 5.out: 输出 6.print:打印 7.public:公共的,公用的 8.static:静态的,静止的 9.oracle:甲骨文公司 10.eclipse: java编程语言

生存游戏手游推荐,适合长期玩的生存类手游

今天小编为大家带来了生存游戏手游推荐&#xff0c;适合长期玩的生存类手游。许多朋友现在喜欢冒险&#xff0c;想体验荒野生活&#xff0c;但在现实中&#xff0c;由于各种原因可能实现不了。游戏中的生存可以满足玩家对狂野生存的幻想&#xff0c;让现实中未实现的梦想在虚拟…

element-ui switch开关组件二次封装,添加loading效果,点击时调用接口后改变状态

先看效果&#xff1a; element-ui中的switch开关无loading属性&#xff08;在element-plus时加入了&#xff09;&#xff0c;而且点击时开关状态就会切换&#xff0c;这使得在需要调用接口后再改变开关状态变得比较麻烦。 思路&#xff1a;switch开关外包一层div&#xff0c;给…

大学生网页设计制作作业实例代码 (全网最全,建议收藏) HTML+CSS+JS

文章目录 &#x1f4da;web前端期末大作业 (1500套) 集合一、网页介绍二、网页集合 三、作品演示A电影主题B漫画主题C商城主题D家乡主题E旅游主题F餐饮/美食主题G环境主题H游戏主题I 个人主题K体育主题L博客主题M汽车主题N文化主题P美妆主题Q企业主题R教育主题S其他主题 &#…

C++在C语言基础上的优化

目录 一、命名空间 1、命名空间的定义 2、命名空间的使用 二、输入&输出 三、缺省参数 1、缺省参数的概念 2、缺省参数的分类 四、函数重载 五、引用 1.引用的概念 2.引用的特性 3、引用和指针的区别 六、内联函数 七、基于范围的for循环 一、命名空间 命名空…

穷举深搜暴搜回溯剪枝(4)

一)单词搜索: 直接在矩阵中依次找到特定字符串 79. 单词搜索 - 力扣&#xff08;LeetCode&#xff09; 画出决策树&#xff0c;只需要做一个深度优先遍历: 1)设计dfs函数:只需要关心每一层在做什么即可&#xff0c;从这个节点开始&#xff0c;开始去尝试匹配字符串的下一个字符…

使用Xshell远程访问工具连接到Linux

首先需要查看Linux地址&#xff0c;在Linux主界面中右键选择“Open in Terminal” 输入“ifconfig”指令查看IP地址 打开Xshell&#xff0c;输入相关信息&#xff0c;建立连接 点击连接&#xff0c;按照提示输入用户名 root和你自己安装centos7时设置的密码&#xff0c;用…

OpenCV_CUDA_VS编译安装

一、OpenCV 我这里是下载的OpenCV4.5.4&#xff0c;但是不知道到在vs里面build时一直报错&#xff0c;后面换了4.7.0的版本测试&#xff0c;安装成功。 Release OpenCV 4.5.4 opencv/opencv GitHub 这个里面有官方预编译好的OpenCV库&#xff0c;可以直接食用。 扩展包&am…

React 展开运算符

0x00 前言 CTF 加解密合集CTF Web合集网络安全知识库溯源相关 文中工具皆可关注 皓月当空w 公众号 发送关键字 工具 获取 0x01 展开运算符 1. 展开数组 <script type"text/javascript">let arr1 [1,3,5,7,9]let arr2 [2,4,6,8,10]console.log(...arr1)&l…

TCP IP网络编程(四) 基于TCP的服务器端、客户端

文章目录 理解TCP、UDPTCP/IP协议栈链路层IP层TCP/UDP层应用层 实现基于TCP的服务器端、客户端TCP服务器端的默认函数调用顺序进入等待连接请求状态受理客户端连接请求TCP客户端的默认函数调用顺序基于TCP的服务器端、客户端函数调用关系 实现迭代服务器端、客户端实现迭代服务…

RK3399平台开发系列讲解(内核调试篇)spidev_test工具使用

🚀返回专栏总目录 文章目录 一、环境二、执行测试三、回环测试四、字节发送测试五、32位数据发送测试沉淀、分享、成长,让自己和他人都能有所收获!😄 📢 在 Linux 系统上,“spidev_test” 是一个用于测试和配置 SPI(Serial Peripheral Interface)设备的命令行工具。…

Java拓展--空间复杂度和时间复杂度

空间复杂度和时间复杂度 文章目录 空间复杂度和时间复杂度空间复杂度时间复杂度**评价排序算法****时间频度****什么是时间频度****忽略常数项****忽略低次项****忽略系数** **时间复杂度****什么是时间复杂度****计算时间复杂度的方法****常见的时间复杂度** **常见的时间复杂…

Weblogic(CVE-2017-10271)与 Struts2(s2-045) 反序列化漏洞复现

文章目录 Java 反序列化漏洞复现weblogic环境搭建漏洞复现 Struts2(s2-045)环境搭建漏洞复现**漏洞利用** Java 反序列化漏洞复现 weblogic Weblogic < 10.3.6 ‘wls-wsat’ XMLDecoder 反序列化漏洞&#xff08;CVE-2017-10271&#xff09; ​ Weblogic的WLS Security组…

【ARM CoreLink 系列 2 -- CCI-400 控制器简介】

文章目录 CCI-400 介绍DVM 机制介绍DVM 消息传输过程TOKEN 机制介绍 下篇文章&#xff1a;ARM CoreLink 系列 3 – CCI-550 控制器介绍 CCI-400 介绍 CCI&#xff08;Cache Coherent Interconnect&#xff09;是ARM 中 的Cache一致性控制器。 CCI-400 将 Interconnect 和coh…

SUMPRODUCT函数

SUMPRODUCT函数返回相应范围或数组的个数之和。 默认操作是乘法&#xff0c;但也可以执行加减除运算。 本示例使用 SUMPRODUCT 返回给定项和大小的总销售额&#xff1a; SUMPRODUCT 匹配项 Y/大小 M 的所有实例并求和&#xff0c;因此对于此示例&#xff0c;21 加 41 等于 62。…

pytorch中的词性标注_seq2seq_比较naive的示例

一、各种用法_查漏补缺&#xff1a; 1.关于numpy中的argmax的用法&#xff1a; numpy之argmax()函数 - 知乎 (zhihu.com) 具体看这篇文章够了 二、代码注释&#xff1a; 参考&#xff1a; Sequence Models and Long Short-Term Memory Networks — PyTorch Tutorials 2.0.…

【1++的数据结构】之map与set(二)

&#x1f44d;作者主页&#xff1a;进击的1 &#x1f929; 专栏链接&#xff1a;【1的数据结构】 文章目录 一&#xff0c;前言二&#xff0c;红黑树的概念及其性质三&#xff0c;红黑树的插入四&#xff0c;红黑树的验证五&#xff0c;map与set的封装红黑树迭代器的实现map重载…

qt 正则表达式

以上是正则表达式的格式说明 以下是自己写的正则表达式 22-25行 是一种设置正则表达式的方式&#xff0c; 29-34行 : 29行 new一个正则表达式的过滤器对象 30行 正则表达式 的过滤格式 这个格式是0-321的任意数字都可以输入 31行 将过滤格式保存到过滤器对象里面 32行 将验…

快人一步进入智能新纪元,《新程序员006》来了!

文 | 王启隆 曾浩辰 出品 | 《新程序员》编辑部 亲爱的 CSDN 以及《新程序员》的读者朋友们&#xff0c;金秋将至&#xff0c;《新程序员006&#xff1a;人工智能新十年》也正式与大家见面&#xff01;现在点击下方封面&#xff0c;即可订阅&#xff0c;立即阅读电子书。精美…