Delphi 12 重返雅典 (RAD Studio 12)

RAD Studio 12 的新功能:

以最新的平台版本为目标!

RAD Studio 12 提供对 iOS 17(仅适用于 Delphi)、Android 14 和 macOS Sonoma 的官方支持。RAD Studio 12 还支持 Ubuntu 22 LTS 和 Windows Server 2022。

Delphi 源代码的多行字符串文字

多行字符串文字可以更轻松地在应用程序源代码中嵌入 SQL、HTML、JSON、XML 多行文本。

SKIA对FireMonkey中UI设计的支持

新基础提高了在所有目标平台上呈现图形和 UI 控件的性能和质量。

使用 FireMonkey 增强功能改进了移动设计

改进了 Android 平台支持、分屏 iOS 和 Android 窗格、全集图标和初始屏幕向导、对 Android API 级别 33 的支持

使用重新设计的 MDI 和 VCL 的选项卡式 UI 实现现代化 VCL

通过支持 HighDPI 和源自 Konopka Signature VCL 控件的新 VCL 设计器,改进了应用程序现代化。

更多 Windows API 可在 Object Pascal 中使用

将所有 Windows API 标头转换为 Object Pascal 的综合集合,使 Delphi 开发人员更容易调用任何 Windows 平台 API

FireDAC中的QBE支持,Delphi的新JSON向导

FireDAC中提供了示例查询。JSON数据映射向导,用于生成与JSON数据结构匹配的类,将数据映射到XML等对象,并流出到新文件。

通过 SQL 限制提高应用程序安全性

通过对 SQL 命令的限制、对多个命令的阻止和 SQL 更改,实现更深入的应用程序安全性。

RAD Server 中对 Smart ID 的支持

更强大、更灵活的托管 REST API,具有新的智能 ID (Sqid)。更好的性能、数据分页改进、更好的会话身份验证。

在 4k+ 屏幕上使用 RAD Studio!

RAD Studio 12 为 IDE 提供高 DPI 支持,使开发人员能够在更大的高分辨率屏幕上工作。全面支持最新的 4k+ 高分辨率显示器,通过更清晰、更清晰的字体和图标以及整个 IDE 窗口(包括 VCL 和 FMX 表单设计器和代码编辑器)的高分辨率支持,改进了开发人员的日常活动。

 面向 Windows 11

官方支持具有集成 MSIX 生成的 Windows 11 预配。适用于 Windows 的 WebBrowser 组件,支持 IE ActiveX 和新的 Microsoft WebView 2 控件(基于 Chromium 的边缘)。增强的 VCL 窗体设计器,可直观地构建本机 Windows 应用程序,并提供实时对齐提示和布局指南。增强了适用于 32 位 Windows 和 64 位 Windows 的 Delphi 和 C++ RTL。

在设计时使用 VCL 样式!

VCL 样式现在提供设计时支持:通过在设计时立即查看样式窗体和控件在运行时的外观,更快地创建样式 UI 的原型。在设计时查看样式在运行时对 UI 的影响可以改进新式 UI 的设计和测试过程。在使用每个控件样式时,更快地创建更好的 UI 特别有用。

部署在 M 系列 Apple 芯片上!

针对 macOS(M 系列 Apple Silicon)进行编译,并使用新的通用包进行 AppStore 提交。现在,您可以针对现有的 Intel 和新的 M 系列 macOS 处理器(Apple Silicon)进行编译。针对最新的处理器版本进行编译可在所有平台上实现最快的性能,并支持 macOS App Store 的通用打包。

远程协作!

改进了对 VCL 和 IDE 的远程桌面支持,帮助开发人员在办公室远程工作。增强了对远程和本地 64 位 Windows 应用程序以及 macOS 64 位应用程序(Intel 和 ARM)的调试。增强的远程桌面支持可提高团队的效率并提高您的底线。

使用生物认证!

RAD Studio 12 为 FireMonkey 移动应用程序提供了新的移动生物识别认证组件。

部署嵌入式InterBase Dev Edition!

RAD Studio 12 附带最近发布的 InterBase 2020 Update 5 开发者版和 IBLite/ToGo 版。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/187437.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

<蓝桥杯软件赛>零基础备赛20周--第5周--杂题-2

报名明年4月蓝桥杯软件赛的同学们,如果你是大一零基础,目前懵懂中,不知该怎么办,可以看看本博客系列:备赛20周合集 20周的完整安排请点击:20周计划 每周发1个博客,共20周(读者可以按…

一题三解(暴力、二分查找算法、单指针):鸡蛋掉落

涉及知识点 暴力、二分查找算法、单指针 题目 给你 k 枚相同的鸡蛋&#xff0c;并可以使用一栋从第 1 层到第 n 层共有 n 层楼的建筑。 已知存在楼层 f &#xff0c;满足 0 < f < n &#xff0c;任何从 高于 f 的楼层落下的鸡蛋都会碎&#xff0c;从 f 楼层或比它低的…

3DMAX汽车绑定动画模拟插件MadCar疯狂汽车使用教程

3DMAX汽车绑定动画模拟插件MadCar疯狂的汽车&#xff0c;用于通过模拟控制来快速装配轮式车辆及其动画。这个新版本允许装配任何数量的车轮的车辆&#xff0c;以及包括摩托车在内的任何相互布置。还支持任意数量的拖车。 每个车轮和悬架都有简化的行为设置以及微调&#xff0c…

【微服务专题】手写模拟SpringBoot

目录 前言阅读对象阅读导航前置知识笔记正文一、工程项目准备1.1 新建项目1.1 pom.xml1.2 业务模拟 二、模拟SpringBoot启动&#xff1a;好戏开场2.1 启动配置类2.1.1 shen-base-springboot新增2.1.2 shen-example客户端新增启动类 三、run方法的实现3.1 步骤一&#xff1a;启动…

RAW图像处理软件Capture One 23 Enterprise mac中文版功能特点

Capture One 23 Enterprise mac是一款专业的图像处理软件&#xff0c;旨在为企业用户提供高效、快速和灵活的工作流程。 Capture One 23 Enterprise mac软件的特点和功能 强大的图像编辑工具&#xff1a;Capture One 23 Enterprise提供了一系列强大的图像编辑工具&#xff0c;…

TensorFlow学习笔记--(1)张量的随机生成

张量的生成 如何判断一个张量的维数&#xff1a;看张量的中括号有几层 0 1 2 &#xff1a;零维数列 [2 4 6] : 一维向量 [ [1 2 3] [4 5 6] ] : 二维数组 两行三列 第一行数据为 1 2 3 第二行数据为 4 5 6 以此类推 n维张量有n层中括号 tf.zeros(%指定一个张量的维数%) 生成一…

Django如何创建表关系,Django的请求声明周期流程图

【1】表与表之间的关系 一对一 左表的一条记录对应右表的一条记录&#xff0c;反之亦然 多对一 左表的一条记录对应右表的多条记录&#xff0c;反之不成立 多对多 左表的一条记录对应右表的多表记录&#xff0c;反之成立 【2】django中创建表关系 class Book(models.Model):t…

canvas 曲线图 双数值轴 山峰图

下面的代码本人亲自撰写&#xff0c;原生不易啊。 <!DOCTYPE html> <html lang"en"><head><meta charset"UTF-8"><meta name"viewport" content"widthdevice-width, initial-scale1.0"><title>D…

CSS3 用户界面、图片、按钮

一、CSS3用户界面&#xff1a; 在CSS3中&#xff0c;增加了一些新的用户界面特性来调整元素尺寸、框尺寸和外边框。CSS3用户界面属性&#xff1a;resize、box-sizing、outline-offset。 1、resize&#xff1a; resize属性指定一个元素是否应该由用户去调整大小。 <style…

Azure 机器学习 - 有关为 Azure 机器学习配置 Kubernetes 群集的参考

目录 受支持的 Kubernetes 版本和区域建议的资源计划ARO 或 OCP 群集的先决条件禁用安全增强型 Linux (SELinux)ARO 和 OCP 的特权设置 收集的日志详细信息Azure 机器学习作业与自定义数据存储连接支持的 Azure 机器学习排斥和容许最佳实践 通过 HTTP 或 HTTPS 将其他入口控制器…

DAY50 309.最佳买卖股票时机含冷冻期 + 714.买卖股票的最佳时机含手续费

309.最佳买卖股票时机含冷冻期 题目要求&#xff1a;给定一个整数数组&#xff0c;其中第 i 个元素代表了第 i 天的股票价格 。 设计一个算法计算出最大利润。在满足以下约束条件下&#xff0c;你可以尽可能地完成更多的交易&#xff08;多次买卖一支股票&#xff09;: 你不…

vue2+elementui使用MessageBox 弹框$msgbox自定义VNode内容:实现radio

虽说实现下面的效果&#xff0c;用el-dialog很轻松就能搞定。但是这种简单的交互&#xff0c;我更喜欢使用MessageBox。 话不多说&#xff0c;直接上代码~ <el-button type"primary" size"mini" click"handleApply()" >处理申请</el-b…

【Git】Git图形化工具SSH协议IDEA集成Git的使用讲解

&#x1f389;&#x1f389;欢迎来到我的CSDN主页&#xff01;&#x1f389;&#x1f389; &#x1f3c5;我是Java方文山&#xff0c;一个在CSDN分享笔记的博主。&#x1f4da;&#x1f4da; &#x1f31f;推荐给大家我的专栏《Git》。&#x1f3af;&#x1f3af; &#x1f449…

git命令之遭遇 ignore罕见问题解决

我先来讲讲背景 我的一些文件在ignore了&#xff0c;不会被提交到远程仓库&#xff0c;这时候我的远程仓库中是没有这几个文件的&#xff0c;这时候我如果使用 git reset 的话这时候除了那几个 ignore 的文件以外都被更新的&#xff0c;但是如果我不需要这几个被 ignore 的文件…

蓝桥杯之模拟与枚举day1

Question1卡片(C/CA组第一题) 这个是一道简单的模拟枚举题目&#xff0c;只要把对应每次的i的各个位都提取出来&#xff0c;然后对应的卡片数目减去1即可。属于打卡题目。注意for循环的特殊使用即可 #include <iostream> using namespace std; bool solve(int a[],int n…

NSS [鹏城杯 2022]压缩包

NSS [鹏城杯 2022]压缩包 考点&#xff1a;条件竞争/逻辑漏洞&#xff08;解压失败不删除已经解压文件&#xff09; 参考&#xff1a;回忆phpcms头像上传漏洞以及后续影响 | 离别歌 (leavesongs.com) 源码有点小多 <?php highlight_file(__FILE__);function removedir($…

大模型+人形机器人,用AI唤起钢筋铁骨

《经济参考报》11月8日刊发文章《多方布局人形机器人赛道,智能应用前景广》。文章称&#xff0c;工信部日前印发的《人形机器人创新发展指导意见》&#xff0c;按照谋划三年、展望五年的时间安排&#xff0c;对人形机器人创新发展作了战略部署。 从开发基于人工智能大模型的人…

CCLink转Modbus TCP网关_MODBUS报文配置

兴达易控CCLink转Modbus TCP网关是一种功能强大的设备&#xff0c;可实现两个不同通信协议之间的无缝对接。它能够将CCLink协议转换为Modbus TCP协议&#xff0c;并通过报文配置实现灵活的通信设置。兴达易控CCLink转Modbus TCP网关可以轻松实现CCLink和Modbus TCP之间的数据转…

汇编-EQU伪指令(数值替换)

EQU伪指令将一个符号名称与一个整数表达式或一个任意文本相关联&#xff0c; 它有3种格式 在第一种格式中&#xff0c; expression必须是一个有效的整数表达式。在第二种格式中&#xff0c; symbol是一个已存在的符号名称&#xff0c; 已经用或EQU定义过。在第三种格式中&…

新方向!文心一言X具身智能,用LLM大模型驱动智能小车

具身智能已成为近年来研究的热点领域之一。具身智能强调将智能体与实体环境相结合&#xff0c;通过智能体与环境的交互&#xff0c;来感知和理解世界&#xff0c;最终实现在真实环境中的自主决策和运动控制。 如何基于文心大模型&#xff0c;低成本入门“具身智能”&#xff0…