18 串口通讯

文章目录

  • 18.0 前言
  • 18.1 串口通讯协议简介
    • 18.1.1 物理层
  • 18.2 RT1052 的 LPUART 简介
  • 18.3 UART 功能框图
    • 18.3.1 中断控制
  • 18.4 UART 初始化结构体详解
    • 18.4.1 baudRate_Bps
    • 18.4.2 parityMode
    • 18.4.3 dataBitsCount
    • 18.4.4 isMsb
    • 18.4.5 stopBitCount
    • 18.4.6 txFifoWatermark与rxFifoWatermark
    • 18.4.7 enableRxRTS
    • 18.4.8 txCtsSource
    • 18.4.9 txCtsConfig
    • 18.4.10 rxIdleType
    • 18.4.11 rxIdleConfig
    • 18.4.12 enableTx与enableRx
  • 18.5 UART1 收发
    • 18.5.1 编程要点
    • 18.5.2 代码分析
      • 18.5.2.1 GPIO 和 UART 宏定义
      • 18.5.2.2 UART 初始化配置
        • 18.5.2.2.1 设置引脚的复用模式
        • 18.5.2.2.2 初始化串口相关 IOMUXC 的 MUX 复用配置
        • 18.5.2.2.3 配置串口参数
    • 18.5.3 字节发送函数
    • 18.5.4 字符串发送
    • 18.5.5 UART 中断服务函数
    • 18.5.6 主函数

18.0 前言

配合《IMXRT1050RM》第 39 章 LPUART 章节一起食用

18.1 串口通讯协议简介

18.1.1 物理层

在这里插入图片描述两个通讯设备的“DB9 接口”之间通过串口信号线建立起连接

  • 串口信号线中使用“RS-232 标准”传输数据信号

RS-232 电平标准的信号不能直接被控制器直接识别

  • 这些信号会经过一个“电平转换芯片”转换成控制器能识别的“TTL 校准”的电平信号

在这里插入图片描述
因为控制器一般使用 TTL 电平标准,所以常常会使用 MA3232 芯片对 TTL 及 RS-232 电平的信号进行互相转换。

18.2 RT1052 的 LPUART 简介

RT1052 芯片具有多达 8 个 LPUART 外设用于串口通讯。

  • Low Power Universal AsynchronousReceiver Transmitter 的缩写,即低功耗异步收发器

UART 是在 USART 基础上裁剪掉了同步通信功能,只支持异步通信。

  • 简单区分同步和异步就是看通信时需不需要对外提供时钟输出,我们平时用的串口通信基本都是 UART

UART 满足外部设备对工业标准 NRZ 异步串行数据格式的要求

  • 使用了小数波特率发生器,可以提供多种波特率
  • UART 支持异步单向通信和半双工单线通信
  • UART 支持使用 DMA,可实现高速数据通信

18.3 UART 功能框图

串口发送
在这里插入图片描述串口接收
在这里插入图片描述

18.3.1 中断控制

在这里插入图片描述

18.4 UART 初始化结构体详解

UART 初始化结构体 (fsl_lpuart.h)

1 typedef struct _lpuart_config {
2 uint32_t baudRate_Bps; // 波特率
3
4 /* 奇偶校验方式,无校验(默认值),奇校验,偶校验 */
5
6 lpuart_parity_mode_t parityMode;
7 /* 数据位位数 , 8 位 ( 默认值 ),7 位 */
8 lpuart_data_bits_t dataBitsCount;
9 bool isMsb; // 数据位顺序 , LSB ( 默认值 ) ,MSB
10
11 /* 如果两位长停止位是可用的并且 */
12 #if defined(FSL_FEATURE_LPUART_HAS_STOP_BIT_CONFIG_SUPPORT) &&
13 FSL_FEATURE_LPUART_HAS_STOP_BIT_CONFIG_SUPPORT
14 /* 停止位 ,1 位 ( 默认值 ),2 位 */
15 lpuart_stop_bit_count_t stopBitCount;
16 #endif
17
18 /*
19 发送接收 FIFO 的容量 ( 条目数 )FSL_FEATURE_LPUART_HAS_FIFO 为真,
20 则表示 FIFO 条目数不为零
21 */
22 #if defined(FSL_FEATURE_LPUART_HAS_FIFO) &&
23 FSL_FEATURE_LPUART_HAS_FIFO
24 uint8_t txFifoWatermark; //TX FIFO watermark 接收 FIFO 数量
25 uint8_t rxFifoWatermark; //RX FIFO watermark 发送 FIFO 数量
26 #endif
27 /* 如果支持硬件流控 */
28 #if defined(FSL_FEATURE_LPUART_HAS_MODEM_SUPPORT) &&
29 FSL_FEATURE_LPUART_HAS_MODEM_SUPPORT
30 bool enableRxRTS; // 使能接收硬件流控
31 bool enableTxCTS; // 使能发送硬件流控
32 /*
33 发送硬件流控信号源,串口的 CTS 引脚或者其他外部 I/O
34 */
35 lpuart_transmit_cts_source_t txCtsSource;
36 /* LPUART 获取 CTS 硬件流控状态配置 */
37 lpuart_transmit_cts_config_t txCtsConfig;
38 #endif
39 /* 空闲计数开始位置定义 . */
40 lpuart_idle_type_select_t rxIdleType;
41 /* 空载字符数量定义 */
42 lpuart_idle_config_t rxIdleConfig;
43 bool enableTx; // 使能发送 TX
44 bool enableRx; // 使能接收 RX
45 } lpuart_config_t;

18.4.1 baudRate_Bps

波特率设置。一般设置为 2400、9600、19200、115200。SDK 库函数会根据设定值完成具体寄存器的设置。

18.4.2 parityMode

校验方式选择:可选奇校验、偶校验、无校验。默认选择无校验。

18.4.3 dataBitsCount

数据帧字长,可选 8 位或 7 位。一般使用 8 数据位;默认是 8 位数据位。

18.4.4 isMsb

数据位顺序,MSB 表示先发送高位,LSB 表示先发送低位。默认是 LSB 模式,isMsb为 0。

18.4.5 stopBitCount

代码第 13 行:条件编译,判断是否支持长停止位,默认是支持的。所以 #if 与 #endif 之间的代码会被编译进工程。

  • stopBitCount:停止位设置,可选 1 个、2 个停止位,一般设置一位停止位,默认值为 1 位。

18.4.6 txFifoWatermark与rxFifoWatermark

代码第 23 行:条件编译,系统默认 FSL_FEATURE_LPUART_HAS_FIFO(用于定义是否使
用接收发送缓存)默认值为 1。#if 与 #endif 之间的代码会被编译进工程。

  • FIOF 是“First-InFirst-Out”的缩写,是一个先进先出的数据缓冲区。
  • 当收到的数据来不及处理则会存储到缓冲区,这样有效的避免数据的覆盖造成的数据丢失。提高串口的通信性能。

txFifoWatermark:发送 FIFO 数量,默认为 0。
rxFifoWatermark:接收 FIFO 数量,默认为 0。

18.4.7 enableRxRTS

代码第 29 行:条件编译,判断系统是否支持硬件控制流。默认是支持的。

  • enableRxRTS:使能接收硬件控制流,一般不使用硬件控制流。默认不使能。

18.4.8 txCtsSource

txCtsSource:发送硬件流控信号源,选择使用外部 I/O 引脚还是串口默认的 CTS 引脚。

18.4.9 txCtsConfig

txCtsConfig:CTS 硬件流控状态配置。

18.4.10 rxIdleType

rxIdleType:空闲计数开始位置定义,空闲计数从一个有效的起始位开始计数,或者在结束
位之后开始计数。

18.4.11 rxIdleConfig

rxIdleConfig:空载字符数量定义,当串口收到一定数量的空载字符(由 rxIdleConfig 指定数
量)设置串口的接口空闲标志。

  • rxIdleType 设置什么时后开始记录空载字符,rxIdleConfig 设置记录多少个空载字符后设置接收空闲标志。

18.4.12 enableTx与enableRx

enableTx:使能发送。
enableRx:使能接收。

18.5 UART1 收发

CH340G 是一个 USB 总线的转接芯片,实现 USB 转 UART、USB 转 IrDA 红外或者 USB 转打印机接口

18.5.1 编程要点

(1) 使能串口的接收和发送功能;
(2) 初始化 GPIO,并将 GPIO 复用到 UART 上;
(3) 配置 UART 参数;
(4) 配置中断控制器并使能 USART 接收中断;
(5) 在 UART 接收中断服务函数实现数据接收和发送

18.5.2 代码分析

18.5.2.1 GPIO 和 UART 宏定义

1 /*********************************************************
2 * UART1 GPIO 端口、引脚号及 IOMUXC 复用宏定义
3 *********************************************************/
4 #define UART_RX_GPIO GPIO1
5 #define UART_RX_GPIO_PIN (13U)
6 #define UART_RX_IOMUXC IOMUXC_GPIO_AD_B0_13_LPUART1_RX
7
8 #define UART_TX_GPIO GPIO1
9 #define UART_TX_GPIO_PIN (12U)
10 #define UART_TX_IOMUXC IOMUXC_GPIO_AD_B0_12_LPUART1_TX
11
12 /*******************************************
13 * UART1 串口号、中断服务函数、中断号重定义
14 ********************************************/
15
16 #define DEBUG_UARTx LPUART1
17 #define DEBUG_UART_IRQ LPUART1_IRQn
18 #define DEBUG_UART_IRQHandler LPUART1_IRQHandler
19
20 /***********************************************
21 * UART1 串口配置参数定义,默认参数如下 :
22 *****************************************/
23 #define DEBUG_UART_BAUDRATE 115200U
24 #define DEBUG_UART_STOP_BIT kLPUART_OneStopBit
25 #define DEBUG_UART_ENABLE_SEND true
26 #define DEBUG_UART_ENABLE_RESIVE true
27
28 /********************************
29 * uart 引脚配置
30 **********************************/
31 #define UART_RX_PAD_CONFIG_DATA (SRE_0_SLOW_SLEW_RATE| \
32 DSE_6_R0_6| \
33 SPEED_1_MEDIUM_100MHz| \
34 ODE_0_OPEN_DRAIN_DISABLED| \
35 PKE_1_PULL_KEEPER_ENABLED| \
36 PUE_1_PULL_SELECTED| \
37 PUS_3_22K_OHM_PULL_UP| \
38 HYS_0_HYSTERESIS_DISABLED)
39 /* 配置说明 : */
40 /* 转换速率 : 转换速率慢
41 驱动强度 : R0/6
42 带宽配置 : medium(100MHz)
43 开漏配置 : 关闭
44 拉 / 保持器配置 : 使能
45 拉 / 保持器选择 : 上下拉
46 上拉 / 下拉选择 : 22K 欧姆上拉 ( 选择了保持器此配置无
47 效 )
48 滞回器配置 : 禁止 */
50 #define UART_TX_PAD_CONFIG_DATA (SRE_0_SLOW_SLEW_RATE| \
51 DSE_6_R0_6| \
52 SPEED_1_MEDIUM_100MHz| \
53 ODE_0_OPEN_DRAIN_DISABLED| \
54 PKE_1_PULL_KEEPER_ENABLED| \
55 PUE_0_KEEPER_SELECTED| \
56 PUS_3_22K_OHM_PULL_UP| \
57 HYS_0_HYSTERESIS_DISABLED)
58 /* 配置说明 : */
59 /* 转换速率 : 转换速率慢
60 驱动强度 : R0/6
61 带宽配置 : medium(100MHz)
62 开漏配置 : 关闭
63 拉 / 保持器配置 : 使能
64 拉 / 保持器选择 : 保持器
65 上拉 / 下拉选择 : 22K 欧姆上拉 ( 选择了保持器此配置无效 )
66 滞回器配置 : 禁止 */

18.5.2.2 UART 初始化配置

1 /**
2 * @brief 初始化 uart 相关 IOMUXC 的 PAD 属性配置
3 * @param 无
4 * @retval 无
5 */
6 void UART_IOMUXC_PAD_Config(void)
7 {
8 IOMUXC_SetPinConfig(UART_RX_IOMUXC, UART_RX_PAD_CONFIG_DATA);
9 IOMUXC_SetPinConfig(UART_TX_IOMUXC, UART_TX_PAD_CONFIG_DATA);
10 }
11
12 /**
13 * @brief 初始化 uart 引脚功能
14 * @param 无
15 * @retval 无
16 */
17 void UART_IOMUXC_MUX_Config(void)
18 {
19 /* RX 和 TX 引脚 */
20 IOMUXC_SetPinMux(UART_RX_IOMUXC, 0U);
21 IOMUXC_SetPinMux(UART_TX_IOMUXC, 0U);
22 }
23
24 /**
25 * @brief 初始化 uart 配置参数
26 * @param 无
27 * @retval 无
28 */
29 void UART_ModeConfig(void)
30 {
31 /* 定义串口配置参数结构体变量,
32 用于保存串口的配置信息 */
33 lpuart_config_t config;
34
35 /* 调用固件库函数得到默认的串口配置参数,
36 在默认的配置参数基础上修改 */
37 LPUART_GetDefaultConfig(&config);
38 config.baudRate_Bps = DEBUG_UART_BAUDRATE;// 波特率
39 // 是否允许接收数据
40 config.enableRx = DEBUG_UART_ENABLE_RESIVE;
41 // 是否允许发送数据
42 config.enableTx = DEBUG_UART_ENABLE_SEND;
43
44 /* 调用固件库函数,
45 将修改好的配置信息写入到串口的配置寄存器中 */
46 LPUART_Init(DEBUG_UARTx, &config, BOARD_DEBUG_UART_CLK_FREQ);
47
48 /* 允许接收中断 */
49 LPUART_EnableInterrupts(DEBUG_UARTx, kLPUART_RxDataRegFullInterruptEnable);
51 EnableIRQ(DEBUG_UART_IRQ);
52
53 }
54
55 /**
56 * @brief 初始化 uart, 并开启了收发功能
57 * @param 无
58 * @retval 无
59 */
60 void UART_Config(void)
61 {62 USART_IOMUXC_MUX_Config();63 USART_IOMUXC_PAD_Config();64 USART_ModeConfig();
65 }
18.5.2.2.1 设置引脚的复用模式

根据 TX,RX 对应的 PAD(LPUART1_TX 对应 GPIO_AD_B0_12,LPUART1_RX 对应GPIO_AD_B0_13) 可以在 fsl_iomuxc.h 文件中找到引脚功能 ID。

  • 将引脚功能 ID 作为实参,调用函数 void IOMUXC_SetPinMux(引脚功能 ID,0) 完成引脚复用模式的初始化。
18.5.2.2.2 初始化串口相关 IOMUXC 的 MUX 复用配置

GPIO 和 USART 宏定义第 10 行和 31~49 行所示

  • 这两个宏定义分别定义了 uart 引脚对应的功能 ID 和引脚配置参数。
  • 引脚配置参数包括了转换速率、驱动强度、带宽配置、开漏配置、拉/保持器配置、拉/保持器选择、上拉/下拉选择、滞回器配置。
18.5.2.2.3 配置串口参数

串口参数的配置使用到 LPUART 结构体,该结构体在上一小节 (UART 初始化结构体详解) 有过详细介绍。

  • 代码UART 初始化配置第 30~54 行所示。

特别提醒:如果串口外部没有接上拉电阻,则配置引脚时要配置为上拉模式。建议外接上拉电阻。

  • 因为 RT1052 最小的上拉电阻为 22K 欧姆,串口的上拉电阻一般为 4.7K 欧姆。

18.5.3 字节发送函数

字节发送函数 (bsp_uart.c)

1 void Uart_SendByte(LPUART_Type *base, uint8_t data)
2 {
3 LPUART_WriteByte( base, data);
4 while (!(base->STAT & LPUART_STAT_TDRE_MASK));
5 }
  • Uart_SendByte 函数用来发送一个字符,它实际是调用LPUART_WriteByte 函数。
  • 使用循环检测发送完成的事件标志来实现保证数据发送完成后才退出函数。

18.5.4 字符串发送

列表 5: 代码清单 18‑5 字符串发送函数 (bsp_uart.c)

1 void Uart_SendString( LPUART_Type *base, const char *str)
2 {
3 LPUART_WriteBlocking( base, (const uint8_t *)str, strlen(str));
4 }
  • Uart_SendString 函数用来发送一个字符串,它实际是调用 LPUART_WriteBlocking 函数(这是一个阻塞的发送函数,无需重复判断串口是否发送完成)发送每个字符,直到遇到空字符才停止发送。
  • strlen(str) 函数用于计算字符串长度。

18.5.5 UART 中断服务函数

UART 中断服务函数 (bsp_uart.c)

1 void DEBUG_UART_IRQHandler(void)
2 {
4 uint8_t ucCh;
5
6 /* 串口接收到数据 */
7 if ((kLPUART_RxDataRegFullFlag)&LPUART_GetStatusFlags(DEBUG_UARTx) )
8 {
9 /* 读取数据 */
10 ucCh = LPUART_ReadByte( DEBUG_UARTx );
11
12 /* 将读取到的数据写入到缓冲区 */
13 push_data_to_queue(&resive_fifo_struct,ucCh);
14 }
15
16 }

代码使能了 UART 接收中断

  • 当 UART 有接收到数据就会执行 DEBUG_UART_IRQHandler 函数。
  • 使用 if 语句来判断是否是真的产生 UART 数据接收这个中断事件
    • 如果是真的就使用 UART 数据读取函数读取数据赋值给 ucCh, 读取过程会软件清除接收中断标志位。
    • 最后再调用 UART 写函数 push_data_to_queue 把数据又发送给源设备。

18.5.6 主函数

列表 7: 代码清单 18‑7 主函数 (main.c)

1 int main(void)
2 {
3
4 /* 初始化内存保护单元 */
5 BOARD_ConfigMPU();
6 /* 初始化开发板引脚 */
7 BOARD_InitPins();
8 /* 初始化开发板时钟 */
9 BOARD_BootClockRUN();
10 /* 初始化调试串口 */
11 BOARD_InitDebugConsole();
12 /* 打印系统时钟 */
13 PRINTF("\r\n");
15 PRINTF("CPU: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_CpuClk));
16 PRINTF("AHB: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_AhbClk));
17 PRINTF("SEMC: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_SemcClk));
18 PRINTF("SYSPLL: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_SysPllClk));
19 PRINTF("SYSPLLPFD0: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_
,→ SysPllPfd0Clk));
20 PRINTF("SYSPLLPFD1: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_
,→ SysPllPfd1Clk));
21 PRINTF("SYSPLLPFD2: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_
,→ SysPllPfd2Clk));
22 PRINTF("SYSPLLPFD3: %d Hz\r\n", CLOCK_GetFreq(kCLOCK_
,→ SysPllPfd3Clk));
23 PRINTF("\r\n");
24 /* 初始化 LED 引脚 */
25 LED_GPIO_Config();
26
27 /* 初始化 uart1*/
28 UART_Config();
29
30 /* 输出提示信息 */
31 Uart_SendString( DEBUG_UARTx," 这是一个串口中断接收回显实验 \r\n");
32 Uart_SendString( DEBUG_UARTx, "在接收中断服务函数中接收并发送收到的数据\r\n");
33 Uart_SendString( DEBUG_UARTx, "RGB 灯交替显示红色、绿色表示主循环正在运行\r\n
,→ ");
34 while (1) {35 /* 亮红灯 */36 RGB_RED_LED_ON;37 RGB_GREEN_LED_OFF38 delay(LED_DELAY_COUNT);3940 /* 亮绿灯 */41 RGB_RED_LED_OFF42 RGB_GREEN_LED_ON;43 delay(LED_DELAY_COUNT);
44 }
45
46 }

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/239426.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

Kubernetes 集群管理—日志架构

日志架构 应用日志可以让你了解应用内部的运行状况。日志对调试问题和监控集群活动非常有用。 大部分现代化应用都有某种日志记录机制。同样地,容器引擎也被设计成支持日志记录。 针对容器化应用,最简单且最广泛采用的日志记录方式就是写入标准输出和标…

RIP【新华三与华为区别】

【介绍】 rip分为rip 1 与 rip 2 ,rip 2 是对 rip 1 的一种升级,rip 2 可以进行认证等功能 【命令】 新华三: [HC3-R1] rip #启用rip [HC3-R1-rip] version 2 #告知rip 版本号 [HC3-R1-rip] network 192.168.1.0 #宣告其网段 [HC3-R1-rip] …

ES分词器

Analysis:文本分析是把全文本转换一系列单词的过程,也叫分词。Analysis是通过Analyzer(分词器)来实现的。 1.Analyzer组成 注意:在ES中默认使用标准分词器:StandardAnalyzer。特点是:中文是单字分词,英文是…

Docker 容器之间的互相通信

Docker容器之间的互相通信 步骤一:创建自定义网络 首先,我们需要创建一个自定义网络,以便容器可以连接到这个网络上,从而实现互相通信。在命令行中执行以下命令: # 创建 docker network create ddz # 查看 docker n…

costmap_2d包介绍

文章目录 一. costmap_2d包介绍二. Costmap包的执行入口-- move_base中调用三. Costmap包的初始化以及维护3.1 Costmap2DROS类3.1.1 构造函数 Costmap2DROS::Costmap2DROS3.1.2 地图更新线程 Costmap2DROS::mapUpdateLoop3.1.3 地图更新 Costmap2DROS::updateMap()3.1.4 激活各…

openssl3.2 - 在VS2019下源码调试openssl.exe

文章目录 openssl3.2 - 在VS2019下源码调试openssl.exe概述笔记先看一个用.bat调用openssl干活的实例VS2019调试参数设置设置 - 命令参数设置 - 工作目录设置 - 环境变量将命令行中需要的文件拷贝到exe目录单步调试备注END openssl3.2 - 在VS2019下源码调试openssl.exe 概述 …

多租户体系实现

文章目录 核心思路方案选择设计考量安全性扩展性通用性易用性 具体实现租户信息透传透传变量名命名规范应用内透传应用间透传 数据层租户隔离MySQL存储方案:多租户Mybatis插件Mybatis插件特点使用多租户Mybatis插件的优势参考文档 应用场景 经过工作中的一处场景启发…

PLC编程中ST语言操作符的使用方法

ST(Structured Text)语言操作符主要用于PLC编程,主要包括算术运算符、比较运算符和逻辑运算符等。 算术运算符包括加()、减(-)、乘(*)、除(/)和指…

中国1981-2023年逐年每15天8km植被指数数据集

摘要 中国1981-2023年逐年每15天8km植被指数数据集来源于GIMMS NDVI数据,包括了1981年7月-2023年12月的长时间序列逐年每15天植被指数变化,格式为arcgis grid格式,投影为WGS84,其时间分辨率是15天,空间分辨…

什么是云服务器,阿里云优势如何?

阿里云服务器ECS英文全程Elastic Compute Service,云服务器ECS是一种安全可靠、弹性可伸缩的云计算服务,阿里云提供多种云服务器ECS实例规格,如经济型e实例、通用算力型u1、ECS计算型c7、通用型g7、GPU实例等,阿里云百科aliyunbai…

C/C++--ProtoBuf使用

一.什么是ProtoBuf 1.序列化和反序列化概念 序列化:把对象转变为字节序列的过程,称为系列化。 反序列化:把字节序列的内容恢复为对象的过程,称为反序列化。 2.什么情况下需要序列化和反序列化 存储数据:将内存中的对象…

Vulnhub靶机:driftingblues 6

一、介绍 运行环境:Virtualbox 攻击机:kali(10.0.2.15) 靶机:driftingblues6(10.0.2.22) 目标:获取靶机root权限和flag 靶机下载地址:https://www.vulnhub.com/entr…

洛谷 P9868 [NOIP2023] 词典

原文链接&#xff1a;NOIP真题第四讲&#xff1a;词典 题目来源&#xff1a;2023 年 NOIP T1 本题考察点&#xff1a;【贪心、枚举、模拟】 前置知识 字典序&#xff1a;指按照a、b、c、...、z的顺序&#xff0c;即a<b<c<...<z&#xff1b; 一、题目及链接 题…

如何用ChatGPT写教案设计?以“沁园春雪”为例

1. 引言 随着人工智能技术的飞速发展&#xff0c;ChatGPT已成为教育领域的一大创新工具。ChatGPT不仅能够模拟人类对话&#xff0c;还可以帮助设计互动丰富、内容丰富的教案。本文将探索如何利用ChatGPT进行教案教学设计&#xff0c;特别是通过“沁园春雪”这一案例&#xff0…

项目压测优化实践思路

&#x1f44f;作者简介&#xff1a;大家好&#xff0c;我是爱吃芝士的土豆倪&#xff0c;24届校招生Java选手&#xff0c;很高兴认识大家&#x1f4d5;系列专栏&#xff1a;Spring原理、JUC原理、Kafka原理、分布式技术原理、数据库技术&#x1f525;如果感觉博主的文章还不错的…

RuntimeError: CUDA error: device-side assert triggered

授人以鱼不如授人以渔 解决步骤 记录下解决步骤…cuda报错真要人命 首先根据终端的提示 他说让你加这个来定位具体的python代码错哪了&#xff0c;所以咱们就加。 我这里启动命令是&#xff1a; accelerate launch --config_file "utils/acc_configs/accelerate_con…

官方认可!360荣获“科技产业高质量发展突出贡献企业”称号

近日&#xff0c;2023年度朝阳区高质量发展突出贡献企业表彰大会在北京成功召开。会上&#xff0c;朝阳区管委会&#xff08;区科信局&#xff09;对朝阳区做出积极贡献的企业单位进行表彰&#xff0c;360数字安全集团作为数字安全的领导者&#xff0c;在技术能力、研发创新和实…

windows系统下docker软件中使用ubuntu发行版本的linux系统

1.软件下载 官网下载地址 下载安装之后&#xff0c;再去微软商店下载wsl软件&#xff0c;可以直接用&#xff0c;或者也可以使用命令行拉取&#xff08;下面会讲&#xff09; 2.在docker里面创建容器的两种方法 2.1.命令行创建 前言&#xff1a;输入 winr 打开命令行进行下面…

WordPress企业模板

首页大图wordpress外贸企业模板 橙色的wordpress企业模板 演示 https://www.zhanyes.com/waimao/6250.html

i18n多国语言Internationalization的实现

i18n 是"Internationalization”的缩写&#xff0c;这个术语来源于英文单词中首尾字母“”和“n”以及中间的字符数(共计18个字符) 当我们需要开发不同语言版本时&#xff0c;就可以使用i18n多国语言的一个操作处理&#xff0c;i18n主要实现那一方面的内容呢&#xff1f;…