华为海思校园招聘-芯片-数字 IC 方向 题目分享——第五套

华为海思校园招聘-芯片-数字 IC 方向 题目分享——第五套

(共9套,有答案和解析,答案非官方,仅供参考)(共九套,每套四十个选择题)

部分题目分享,完整版获取(WX:didadidadidida313,加我备注:CSDN huawei数字芯片题目,谢绝白嫖哈)

在这里插入图片描述

在这里插入图片描述

华为海思校园招聘-芯片-数字 IC 方向
(第五套)
1、下列选项中( ) 不是 veriog HDL 的关键字。( )
A. tri
B. for
C. force
D. edge
解析:
tri、for 和 force 都是 Verilog HDL 的关键字,而 edge 不是。这些关键字的作用如下:
tri:用于定义三态门,如 trior、trior、tristate 等。
for:用于编写循环语句,如 for loop、foreach loop 等。
force:用于在仿真过程中设置某个信号的值,可用于调试设计。
edge:虽然不是关键字,但是在 Verilog HDL 中常被用作系统任务,在时序描述中表示起始
边缘或终止边缘,如 posedge、negedge 等。
2、一个 16 选 1 的 onehot 数据选择器,其地址输入(选择控制输入)端有几个( )
A. 1
B.16
C.4
D.2
解析:
一个 16 选 1 的 onehot 数据选择器,其地址输入端应该有 16 个,对应 16 种可能的数
据输入。每个地址输入的值应表示一个唯一的地址,且这些地址只能有一个为高电平(即
onehot 编码)。因此,正确的答案是 A 选项,即有 1 个地址输入端。
3、下面这段代码,vld 为 0,data_i 在翻转时,乘法器不产生动态功耗:( )
注:这里原题中 if 块就没有赋值语句
A.正确
B.错误
解析:
在 vld 为 0 时,根据代码,乘法器还是会执行,此时的输入会被看做是零,输出也为零。因
此,即使 vld 为零,乘法器仍会产生动态功耗。
正确的代码应该使用一个逻辑门来控制乘法器,如下所示:
这样,在 vld 为零时,乘法器不会被激活,输出将保持为零,从而避免动态功耗的产生。
4、下列说法正确的是( )
A.reg 变量一定被综合为寄存器
B.always 块一定是用来描述时序逻辑的
C.变量类型定义为 memory 类型只能使用 always 进行赋值
D.变量类型定义为 memory 类型可以使用 assign 进行赋值
解析:
A. reg 变量一定被综合为寄存器是正确的。
在 Verilog 中,reg 声明的变量在综合时通常被翻译为寄存器(RG)。这是因为 reg 变量只能被
赋值,没有输出,只能在 always 块中被操作。因此,在综合时,reg 对应的硬件元件就是寄
存器。
B. always 块一定是用来描述时序逻辑的是不正确的。
always 块可以用来描述时序逻辑,也可以用来描述组合逻辑。always 块中的代码可以根据不
同的敏感信号(posedge、negedge、level)触发更新,实现时序逻辑;也可以在逻辑内部进行
组合逻辑的操作,根据输入信号得到输出信号。
C. 变量类型定义为 memory 类型只能使用 always 进行赋值是正确的。
在 Verilog 中,memory 是一种多位数据类型,通常用于描述类似于 RAM 的组合逻辑电路。
memory 类型的变量只能使用 always 块来进行操作,无法使用 assign 来赋值。
D. 变量类型定义为 memory 类型可以使用 assign 进行赋值是不正确的。
memory 类型的变量只能使用 always 块来进行赋值,不能使用 assign。assign 是用于描述组
合逻辑的关键字,而 memory 类型的变量是一种综合成组合逻辑的多位数据类型,不能直接
用于 assign 赋值。
5、描述时序逻辑时,只有 if 条件分支没有 else 分支有助于综合(Desgn Compile,DC)自动插
icg ( )
A.正确
B.错误
解析:
A. 不正确。Verilog 中的 reg 变量可以被综合为寄存器或者组合逻辑。综合工具会根据代码
逻辑和综合规则决定 reg 变量被综合为何种逻辑。
C. 不正确。Verilog 中的 memory 类型的变量需要使用 initial 或者 always 块进行赋值,其
中 initial 块是用来初始化存储器中的数据, always 块则可以用来描述存储器的时序逻辑。
D. 不正确。Verilog 中的 memory 类型的变量不能使用 assign 进行赋值,只能使用 initial
块或者 always 块。
因此,正确的选项是 B。always 块主要是用来描述时序逻辑的。
6、以下逻辑正确( )
A.正确
B.错误
解析:
这段代码存在语法错误和逻辑错误。正确的 Verilog HDL 代码应该类似于下面的形式:
其中:
 首先定义了一个名为 HIGH_PRI 的参数,并将其赋值为 0。
 使用 if‐else 结构进行条件判断:
o 如果 HIGH_PRI 的值为 1,则将 o 的值设置为 c 的值。
o 如果 HIGH_PRI 的值不为 1,则将 a 的值设为 b 的值。
7、将逻辑通过 CLK Gate 方式引入 CK 路径中,可以减少 D 端逻辑的路径时延( )
A 正确
B.错误
解析:
将逻辑通过 CLK Gate 的方式引入时钟路径中,可以在时钟信号到达寄存器 D 端之前,关
闭时钟信号,从而减少 D 端逻辑的路径时延,减小时钟抖动以及减少功耗。
当时钟信号到达时钟栅门时,如果 CLK Gate 处于关闭状态,则时钟信号被阻断,在时钟信
号到达寄存器的 D 端之前,数据输入信号不能进入寄存器,以此减少逻辑路径的时间延迟。
因此,将逻辑通过 CLK Gate 的方式引入 CK 路径中,可以减少 D 端逻辑的路径时延,同
时还可以减小时钟抖动和功耗。
8、I2C 器件中,7bit 模式的器件和 10bit 地址模式的器件,可以连接到同一个 2C 总线中?( )
A.正确
B.错误
解析:
I2C 总线支持 7 位和 10 位寻址模式。7 位寻址模式提供 128 个地址,而 10 位寻址模式
提供 1024 个地址。I2C 总线允许同时连接 7 个 7 位寻址模式的器件,和 1024 个 10 位
寻址模式的器件。因此,7 位模式的器件和 10 位地址模式的器件可以连接到同一个 I2C 总
线中,且不会有冲突。
需要注意的是,连接多个器件到 I2C 总线中需要非常小心,因为总线上的信号是共享的,
在不合理的设计或错误的连接方式下,可能会导致总线的电气特性失衡,而导致系统故障。
9、下面代码 c、b 信号每个时钟周期都相同( )
A.正确
B.错误
解析:
根据 Verilog HDL 中的信号赋值规则,在一个时钟上升沿到来时,always 块中的代码会被并
行执行。这意味着在一个时钟周期内,always 块中的所有语句都会被执行完成。在本例中,
always 块在上升沿时被执行,将 b 的值设置为 a 的值,然后再将 c 的值设置为 b 的值。因此,
指导下一个上升沿到来,c 和 b 的值都不会发生变化,且在每个时钟周期中,b 和 c 的值都相
同。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/312698.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

OSPF的P2P和Broadcast

OSPF为什么会有P2P和BROADCAST两种类型 OSPF(开放最短路径优先)协议中存在P2P(点对点)和BROADCAST(广播多路访问)两种网络类型,主要是为了适应不同类型的网络环境和需求。具体分析如下&#xf…

ETL工具-nifi干货系列 第十三讲 nifi处理器QueryDatabaseTable查询表数据实战教程

1、处理器QueryDatabaseTable,该组件生成一个 SQL 查询,或者使用用户提供的语句,并执行它以获取所有在指定的最大值列中值大于先前所见最大值的行。查询结果将被转换为 Avro 格式,如下图所示: 本示例通过QueryDatabase…

初识SpringMVC(SpringMVC学习笔记一)

1 、还是熟悉的配方&#xff0c;先创建一个父Maven项目&#xff08;忘记怎么创建项目了就去前面翻笔记&#xff09;&#xff0c;导入通用的配置依赖 <project xmlns"http://maven.apache.org/POM/4.0.0" xmlns:xsi"http://www.w3.org/2001/XMLSchema-instan…

【Vue3】setup语法糖的使用

文章目录 setup简介使用vite-plugin-vue-setup-extend插件 指定组件名字 setup简介 <script setup> 是在单文件组件 (SFC) 中使用组合式 API 的编译时语法糖 相比较普通的<script> ,它有以下优势&#xff1a; 更少的样板内容&#xff0c;更简洁的代码。能够使用纯…

一种多信号线粒体靶向荧光探针,用于同时区分生物硫醇并实时可视化其在癌细胞和肿瘤模型中的代谢

文献来源:https://www.sciencedirect.com/science/article/pii/S003991402300855X? 该探针应用&#xff1a; 用于区分生物硫醇&#xff0c;并依次检验代谢物 。 实时监测细胞、斑马鱼和肿瘤中的生物硫醇代谢。 一、背景介绍 生物硫醇 &#xff08;1&#xff09;种类 生…

基于springboot实现人口老龄化社区服务与管理系统项目【项目源码+论文说明】计算机毕业设计

基于springboot实现人口老龄化社区服务与管理系统演示 摘要 随着信息技术在管理上越来越深入而广泛的应用&#xff0c;管理信息系统的实施在技术上已逐步成熟。本文介绍了人口老龄化社区服务与管理平台的开发全过程。通过分析人口老龄化社区服务与管理平台方面的不足&#xff…

Node.js 中的 RSA 加密、解密、签名与验证详解

引言 在现代的网络通信中&#xff0c;数据安全显得尤为重要。RSA加密算法因其非对称的特性&#xff0c;广泛应用于数据的加密、解密、签名和验证等安全领域。本文将详细介绍RSA算法的基本原理&#xff0c;并结合Node.js环境&#xff0c;展示如何使用内置的crypto模块和第三方库…

Python接口自动化 —— Web接口(2)

1.2.3 HTTP HTTP概念 Hyper Text Transfer Protocal超文本传输协议&#xff0c;基于tcp/ip通信协议来传递数据&#xff0c;属于应用层协议主要特点: 无连接: 每次连接只处理一个请求&#xff0c;服务器处理完请求并受到客户端应答后就断开连接媒体独立。 只要服务器和客…

ActiveMQ 07 集群配置

Active MQ 07 集群配置 官方文档 http://activemq.apache.org/clustering 主备集群 http://activemq.apache.org/masterslave.html Master Slave TypeRequirementsProsConsShared File System Master SlaveA shared file system such as a SANRun as many slaves as requ…

【日常记录】【CSS】SASS循环的使用

文章目录 1、引言2、安装3、举例4、参考链接 1、引言 目前在任何项目框架中&#xff0c;都会有css 预处理器&#xff0c;目前一般使用 sass、less 这俩其中之一&#xff0c;它可以简化css的书写 Sass 是一款强化 CSS 的辅助工具&#xff0c;它在 CSS 语法的基础上增加了变量 (v…

HarmonyOS开发实例:【分布式数据服务】

介绍 分布式数据服务(Distributed Data Service&#xff0c;DDS)为应用程序提供不同设备间数据分布式的能力。通过调用分布式数据接口&#xff0c;应用程序将数据保存到分布式数据库中。通过结合帐号、应用和分布式数据服务对属于不同的应用的数据进行隔离&#xff0c;保证不同…

【kubeEdge】离线部署

部署 kubeEdge 在线部署 在线方式部署直接执行以下命令&#xff0c;会联网下载需要的安装文件执行安装 $ keadm init --advertise-address{ip} --kubeedge-version{version} --kube-config{config_path}&#xff0c; 离线部署 离线准备工作 所有下载操作均在可以联网的机…

VulnHub系列 DC-4靶机 渗透详细过程 | 红队打靶

VulnHub系列 DC-4靶机详细渗透测试过程 目录 VulnHub系列 DC-4靶机详细渗透测试过程一、将靶机导入到虚拟机当中二、渗透流程主机发现端口扫描Web渗透暴力破解命令执行反弹shellSSH暴力破解提权 一、将靶机导入到虚拟机当中 靶机地址&#xff1a; https://download.vulnhub.c…

JVM复习

冯诺依曼模型与计算机处理数据过程相关联&#xff1a; 冯诺依曼模型&#xff1a; 输入/输出设备存储器输出设备运算器控制器处理过程&#xff1a; 提取阶段&#xff1a;输入设备传入原始数据&#xff0c;存储到存储器解码阶段&#xff1a;由CPU的指令集架构ISA将数值解…

【leetcode面试经典150题】34.有效的数独(C++)

【leetcode面试经典150题】专栏系列将为准备暑期实习生以及秋招的同学们提高在面试时的经典面试算法题的思路和想法。本专栏将以一题多解和精简算法思路为主&#xff0c;题解使用C语言。&#xff08;若有使用其他语言的同学也可了解题解思路&#xff0c;本质上语法内容一致&…

vue3第二十节(新增编译宏defineModel)

为什么会需要使用defineModel() 注意&#xff1a;defineModel() 需要在3.4及以上版本才可使用&#xff1b; 组件之间通讯&#xff0c;通过 props 和 emits 进行通讯,是单向数据流&#xff0c;比如&#xff1a;props是自上而下的&#xff08;父组件数据修改导致子组件更新&…

FactoryMethod工厂方法模式详解

目录 模式定义实现方式简单工厂工厂方法主要优点 应用场景源码中的应用 模式定义 定义一个用于创建对象的接口&#xff0c;让子类决定实例化哪一个类。 Factory Method 使得一个类的实例化延迟到子类。 实现方式 简单工厂 以下示例非设计模式&#xff0c;仅为编码的一种规…

贝锐蒲公英自研异地组网新技术:远程视频监控,流畅度、清晰度大幅提升

在远程视频监控过程中&#xff0c;若遇到网络带宽若遇到网络波动&#xff0c;如&#xff1a;丢包、高延迟等&#xff0c;往往会导致视频流传输时发生数据丢失或延迟现象&#xff0c;从而严重影响视频画面的清晰度和流畅度。 比如&#xff1a;在公司总部集中监看远程矿山或户外水…

力扣hot100:136. 只出现一次的数字 及其衍生

文章目录 一、LeetCode&#xff1a;136. 只出现一次的数字 使用到的异或运算的特点&#xff1a; 两个相同的数异或&#xff0c;结果为0 一、LeetCode&#xff1a;136. 只出现一次的数字 LeetCode&#xff1a;136. 只出现一次的数字 这里数组nums的特点是&#xff0c;除了一…

YOLOv8结合CVPR2024最新图像增强算法!让你的模型无惧风雨【含端到端推理脚本】

篇博客的算法来自于CVPR2024&#xff0c;代码刚刚开源没几天~ 原图去雨去雨检测 如何有效地探索雨痕的多尺度表示对于图像去雨是很重要的。与现有的基于Transformer的方法相比&#xff0c;这些方法主要依赖于单一尺度的雨痕外观&#xff0c;我们开发了一个端到端的多尺度Trans…