【总线】AXI4第五课时:信号描述

        大家好,欢迎来到今天的总线学习时间!如果你对电子设计、特别是FPGA和SoC设计感兴趣,那你绝对不能错过我们今天的主角——AXI4总线。作为ARM公司AMBA总线家族中的佼佼者,AXI4以其高性能和高度可扩展性,成为了现代电子系统中不可或缺的通信桥梁。

        上几课时我们了解了AMBA和AXI的历史,也知道AXI4有5个独立的管道,并且有独立的握手机制,本章节更细致的讲一下5个独立管道都有哪些信号

        这里会有一系列的课程,和大家分享AMBA总线家族,欢迎大家一起学习,收藏点赞。

 系列文章

【总线】AMBA总线架构的发展历程-CSDN博客

【总线】设计fpga系统时,为什么要使用总线?-CSDN博客

【总线】AXI总线:FPGA设计中的通信骨干-CSDN博客

【总线】AMBA总线家族的明星成员:AXI协议简介-CSDN博客

【总线】AXI4第一课时:揭秘AXI4总线的五大独立通道-CSDN博客

【总线】AXI4第二课时:深入AXI4总线的基础事务-CSDN博客

【总线】AXI4第三课时:握手机制-CSDN博客

【总线】AXI4第五课时:信号描述-CSDN博客


尊敬的新老朋友,今天我们将深入探讨AXI4协议的核心——信号描述。这些信号如同系统设计的脉络,确保数据传输的高效与准确。让我们细致地了解它们。

贴一下官方文档的说明,有英文好的朋友可以直接阅读,更直观。

 展开AXI总线


1. 全局信号(Global Signals)
  • ACLK:系统全局时钟信号,所有操作的同步基准。
  • ARESETn:低电平有效的全局复位信号,用于初始化系统状态。

2. 写地址通道信号(Write Address Channel Signals)
  • AWID[3:0]:写事务的身份标识,用于区分不同的写请求。
  • AWADDR[31:0]:写事务的目标地址,指向数据将要写入的内存位置。
  • AWLEN[3:0]:突发长度,定义了突发中数据传输的数量。
  • AWSIZE[2:0]:突发中每次传输的数据大小。
  • AWBURST[1:0]:定义突发的类型,如固定、增量或包装。
  • AWLOCK[1:0]:表示事务是否需要原子操作,如独占或锁定访问。
  • AWCACHE[3:0]:描述写事务的缓存属性,影响缓存一致性和写策略。
  • AWPROT[2:0]:定义写事务的保护级别,确保数据安全。
  • AWVALID:表示写地址通道上的信息有效。
  • AWREADY:表示目标设备准备好接收写地址信息。

3. 写数据通道信号(Write Data Channel Signals)
  • WID[3:0]:与AWID匹配,标识写数据事务。
  • WDATA[31:0]:实际要写入的数据。
  • WSTRB[3:0]:字节选通信号,指示WDATA中哪些字节是有效的。
  • WLAST:表示突发传输中的最后一个数据。
  • WVALID:表示写数据通道上的信息有效。
  • WREADY:表示目标设备准备好接收写数据。

4. 写响应通道信号(Write Response Channel Signals)
  • BID[3:0]:与AWID和WID匹配,标识写响应事务。
  • BRESP[1:0]:写事务的响应状态,如正常完成或错误。
  • BVALID:表示写响应通道上的信息有效。
  • BREADY:表示发起写事务的主设备准备好接收响应。

5. 读地址通道信号(Read Address Channel Signals)
  • ARID[3:0]:读事务的身份标识。
  • ARADDR[31:0]:读事务的目标地址。
  • ARLEN[3:0]:读突发长度。
  • ARSIZE[2:0]:定义读事务中每次传输的数据大小。
  • ARBURST[1:0]:定义读突发的类型。
  • ARLOCK[1:0]:表示读事务是否需要原子操作。
  • ARCACHE[3:0]:描述读事务的缓存属性。
  • ARPROT[2:0]:定义读事务的保护级别。
  • ARVALID:表示读地址通道上的信息有效。
  • ARREADY:表示目标设备准备好接收读地址信息。

6. 读数据通道信号(Read Data Channel Signals)
  • RID[3:0]:与ARID匹配,标识读数据事务。
  • RDATA[31:0]:从从设备读取的数据。
  • RRESP[1:0]:读事务的响应状态。
  • RLAST:表示读突发中的最后一个数据。
  • RVALID:表示读数据通道上的信息有效。
  • RREADY:表示发起读事务的主设备准备好接收数据。

7. 低功耗接口信号(Low-power Interface Signals)
  • CSYSREQ:系统时钟控制器请求进入低功耗状态的信号。
  • CSYSACK:从设备确认低功耗状态请求的信号。
  • CACTIVE:从设备指示其活动状态,请求时钟使能。


结语:

通过今天的学习,希望大家能够对AXI4的信号有更深入的理解。这些信号是确保数据正确、高效传输的关键。请各位朋友认真复习,掌握每个信号的具体含义和作用。
之后的章节会再对每个通道的信号进行更细致的讲解。

本文来自互联网用户投稿,该文观点仅代表作者本人,不代表本站立场。本站仅提供信息存储空间服务,不拥有所有权,不承担相关法律责任。如若转载,请注明出处:http://www.rhkb.cn/news/359443.html

如若内容造成侵权/违法违规/事实不符,请联系长河编程网进行投诉反馈email:809451989@qq.com,一经查实,立即删除!

相关文章

实用软件下载:CrossOver 2024最新安装包及详细安装教程

​根据软件大数据显示上传或者手动输入软件都非常简单,一般来说CrossOver会自动连接到一个Win文件共享服务器(Samba或CIFS)上,使用者能够直接在这个服务器中选择并上传软件执行文件。实际上我们可以这样讲调整CrossOver设置&#…

JBPM4 JBDL Demo

JBPM4 JBDL Demo 工作流样例,工作流程定义文件

【高性能计算笔记】

第1章 - 高性能计算介绍 1. 概念: 高性能计算(High performance computing,缩写HPC): 指通常使用很多处理器(作为单个机器的一部分)或者某一集群中组织的几台计算机(作为单个计算资源操作)的…

比特币今天下跌2,800美元,共有$2.824 亿合约爆仓

来源:币界原创 作者:636Marx 币界网报道,截止0624-18:20分,全网共有 90,966 交易账户爆仓,清算金额达 2.824 亿美元。本月累计下跌-10.10%,约 -7,500美元。 图片来自币界网,了解更新资讯 今日爆仓大部分是多头仓位&am…

(一)、配置服务器的多个网卡路由,访问多个不同网络段

一、现场网络关系说明 有这么一个需要,服务器有三个网口,网口一需要访问外网,网口二需要访问内网1,网口2需要访问内网2。需要配置路由来满足该网络访问需要。 图1 现场网络关系 二、配置教程 步骤1: a、命令行输入…

若依-前后端分离项目学习

第一天(6.24) 具体参考视频 b站 楠哥教你学Java 【【开源项目学习】若依前后端分离版,通俗易懂,快速上手】 https://www.bilibili.com/video/BV1HT4y1d7oA/?share_sourcecopy_web&vd_sourcecd9334b72b49da3614a4257…

vue项目中dom拖动排序功能实现

vue项目中拖动元素改变其顺序的功能实现 实现此功能,我利用的是SortableJs,其官网上直接介绍其为功能强大的js拖拽库,只需要简单的代码即可实现dom拖拽 1.下载 npm i sortablejs 2.引入 使用时,我们在那个页面中使用,就在该vue文…

oracle报错-ORA-12638:身份证明检索失败

navicat16连接本地oracle数据库成功后,连接远程数据库,同样是oracle11g,确认网络、用户名、密码都没问题的情况下,报错ora-12638。 解决方法: 这是由于Oracle的认证方式使用了本地操作系统认证(NTS&#x…

Redis 主从复制+哨兵+集群

1、总结写在前面 Redis 集群 数据分片 高可用性 Redis 哨兵 主从复制 故障转移 2、主从复制 2.1、准备配置 查看docker 容器 ip docker inspect 容器id | grep IPAddressdocker inspect -f{{.Name}} {{.NetworkSettings.IPAddress}} $(docker ps -aq)修改配置文件 初始…

从广州到上海|荣载光的智慧 与SSHT共同探索智能照明更多想象空间

随着生活水平的提高,大众对高品质生活的追求脚步逐步加快,人们对智能照明的需求日益多样化,不再仅仅满足于传统的照明功能,而是转向智能照明系统,提出更高的需求。 展望未来,中国智能照明市场预计将迎来全…

泽众云真机-平台即将升级支持华为机型HarmonyOS NEXT系统

具小编了解,泽众云真机即将升级支持华为机型HarmonyOS NEXT系统。有些人可能对HarmonyOS NEXT系统了解不多。 之前我们有个银行项目,客户要求测试华为HarmonyOS NEXT系统环境,当时我们云真机尚未有该系统的机型,然后技术人员向华为…

SpringBoot + Vue 实现 AES 加密和 AES 工具类总结

目录 一、加密知识回顾 AES加密模式 二、Java 自定义 AES 工具类 三、SpringBoot 实现 AES 加密登陆 controller 层 server 层 四、Vue 实现 AES 加密登陆 五、前端AES工具类 六、实现结果 一、加密知识回顾 密钥是AES算法实现加密和解密的根本。对称加密算法之所以…

最新SCI算法:常青藤优化算法(IVY)原理及应用 Python代码

声明:文章是从本人公众号中复制而来,因此,想最新最快了解各类算法的家人,可关注我的VX公众号:python算法小当家,不定期会有很多免费代码分享~ 最新SCI算法:常青藤优化算法(IVY&…

浏览器提升编译速度小技巧(一)- 防病毒排除

1.引言 在Chrome开发过程中,编译速度是影响开发效率的关键因素之一。编译一个大型项目如Chrome,往往需要处理大量的代码文件和依赖库,这个过程既复杂又耗时。因此,任何能够提升编译速度的技巧,都能显著提高开发效率&a…

如何使用Python和PaddleOCR轻松识别图片中的文字

在这个数字化时代,文本识别技术变得越来越重要,它广泛应用于文档自动化处理、内容审核、智能交互等场景。本文将引导你如何使用Python结合PaddleOCR库,轻松实现图片中的文字识别功能。 必备工具包安装 在开始编写代码之前,你需要…

Cephalo:专门用于仿生设计的多模态视觉大型语言模型

实时了解业内动态,论文是最好的桥梁,专栏精选论文重点解读热点论文,围绕着行业实践和工程量产。若在某个环节出现卡点,可以回到大模型必备腔调或者LLM背后的基础模型重新阅读。而最新科技(Mamba,xLSTM,KAN)…

什么是响应式编程

我们知道,当系统面对大流量、高并发的访问请求时,就可能会出现一系列性能问题,导致服务丧失了即时的响应性。如何时刻确保系统具有应对请求压力的能力,是架构设计的核心问题之一。 经典的服务隔离、限流、降级以及熔断等机制能够在…

2024全国各地高考录取分数线一览表(含一本、二本、专科)

2024年高考录取分数线陆续公布,上大学网(www.sdaxue.com)为大家整理全国31个省市高考录取分数线汇总,包括本科批、专科批和特殊类招生控制分数线汇总,来看看你的省份多少分能上大学吧。 一、2024年全国高考录取线一览表 1、宁夏 一本线&…

一文搞懂Linux命令行下载OneDrive分享文件

一文搞懂Linux命令行下载OneDrive分享文件 什么问题? 因为OneDrive有些坑,无法从分享界面获取真实下载链接,比如下面这个链接: https://connecthkuhk-my.sharepoint.com/:f:/g/personal/jhyang13_connect_hku_hk/EsEgHtGOWbJIm…

Golang逃逸分析

在Go语言中,逃逸分析(Escape Analysis)是一种编译器优化技术,用于确定变量是应该分配在堆上还是在栈上。这对程序的性能有显著的影响,因为栈上资源的分配速度和释放速度要比堆上快得多,同时堆上的内存管理也更加简单。 基本概念 …